Jan M. Rabaey

Orcid: 0000-0001-6290-4855

Affiliations:
  • University of California, Berkeley, USA


According to our database1, Jan M. Rabaey authored at least 329 papers between 1985 and 2023.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1995, "For contributions in design synthesis and concurrent architectures for signal processing applications.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks.
IEEE Trans. Neural Networks Learn. Syst., December, 2023

End-to-End Planner for Self-Reconfigurable Modular Robots Collaborative Objects Manipulation, Transport and Handover to Human Application.
Proceedings of the 32nd IEEE International Conference on Robot and Human Interactive Communication, 2023

Restoring the magic in design.
Proceedings of the 9th International Workshop on Advances in Sensors and Interfaces, 2023

Accelerating Hyperdimensional Computing with Vector Machines.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Shared Control of Assistive Robots through User-intent Prediction and Hyperdimensional Recall of Reactive Behavior.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

HDBinaryCore: A 28nm 2048-bit Hyper-Dimensional biosignal classifier achieving 25 nJ/prediction for EMG hand-gesture recognition.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
Impact of Forward Body-Biasing on Ultra-Low Voltage Switched-Capacitor RF Power Amplifier in 28 nm FD-SOI.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Highly Energy-Efficient Hyperdimensional Computing Processor for Biosignal Classification.
IEEE Trans. Biomed. Circuits Syst., 2022

Vector Symbolic Architectures as a Computing Framework for Emerging Hardware.
Proc. IEEE, 2022

A Sub-100-μW 0.1-to-27-Mb/s Pulse-Based Digital Transmitter for the Human Intranet in 28-nm FD-SOI CMOS.
IEEE J. Solid State Circuits, 2022

Computing with Hypervectors for Efficient Speaker Identification.
CoRR, 2022

Innovating at Speed and at Scale: A Next Generation Infrastructure for Accelerating Semiconductor Technologies.
CoRR, 2022

Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata.
Brain Informatics, 2022

On the Role of Hyperdimensional Computing for Behavioral Prioritization in Reactive Robot Navigation Tasks.
Proceedings of the 2022 International Conference on Robotics and Automation, 2022

A Low-Overhead Approach for Self-sovereign Identity in IoT.
Proceedings of the Internet of Things, 2022

Brain-inspired Multi-level Control of an Assistive Prosthetic Hand through EMG Task Recognition.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

A probability-inspired normalization for fixed-precision Hyper-Dimensional Computing.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Adaptive Body Area Networks Using Kinematics and Biosignals.
IEEE J. Biomed. Health Informatics, 2021

Vector Symbolic Architectures as a Computing Framework for Nanoscale Hardware.
CoRR, 2021

Memory-Efficient, Limb Position-Aware Hand Gesture Recognition using Hyperdimensional Computing.
CoRR, 2021

Sparse-Push: Communication- & Energy-Efficient Decentralized Distributed Learning over Directed & Time-Varying Graphs with non-IID Datasets.
CoRR, 2021

Millimetro: mmWave retro-reflective tags for accurate, long range localization.
Proceedings of the ACM MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, 2021

Of Brains and Computers.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Generalized Learning Vector Quantization for Classification in Randomized Neural Networks and Hyperdimensional Computing.
Proceedings of the International Joint Conference on Neural Networks, 2021

Architecting the Human Intranet.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A Highly Energy-Efficient Hyperdimensional Computing Processor for Wearable Multi-Modal Classification.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

2020
Human-Centric Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2020

QuantHD: A Quantization Framework for Hyperdimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Hyperdimensional Computing for Blind and One-Shot Classification of EEG Error-Related Potentials.
Mob. Networks Appl., 2020

Nanotechnology-inspired Information Processing Systems of the Future.
CoRR, 2020

Energy Efficient Heartbeat-Based MAC Protocol for WBAN Employing Body Coupled Communication.
IEEE Access, 2020

Heartbeat-Based Synchronization Scheme for the Human Intranet: Modeling and Analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Self-Sovereign Identity for IoT environments: A Perspective.
Proceedings of the 2020 Global Internet of Things Summit, 2020

2019
A 200-Mb/s Energy Efficient Transcranial Transmitter Using Inductive Coupling.
IEEE Trans. Biomed. Circuits Syst., 2019

Efficient Biosignal Processing Using Hyperdimensional Computing: Network Templates for Combined Learning and Classification of ExG Signals.
Proc. IEEE, 2019

A Programmable Hyper-Dimensional Processor Architecture for Human-Centric IoT.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Adaptive EMG-based hand gesture recognition using hyperdimensional computing.
CoRR, 2019

Towards Wireless Flexible Printed Wearable Sensors.
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019

Towards Wireless Flexible Printed Wearable Sensors.
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019

Feasability of On-Body Backscattering in the UHF-RFID Band using Screen-Printed Dipole Antennas.
Proceedings of the 13th International Symposium on Medical Information and Communication Technology, 2019

Channel Gain for a Wrist-to-Arm Scenario in the 55-65 GHz Frequency Band.
Proceedings of the Body Area Networks. Smart IoT and Big Data for Intelligent Health Management, 2019

Capacitive Body-Coupled Communication in the 400-500 MHz Frequency Band.
Proceedings of the Body Area Networks. Smart IoT and Big Data for Intelligent Health Management, 2019

Analysis of Contraction Effort Level in EMG-Based Gesture Recognition Using Hyperdimensional Computing.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Wireless Power Transfer to Randomly Distributed Implants via Homogeneous Magnetic Fields.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

2018
Classification and Recall With Binary Hyperdimensional Computing: Tradeoffs in Choice of Density and Mapping Characteristics.
IEEE Trans. Neural Networks Learn. Syst., 2018

Automatic 3D Design for Efficiency Optimization of a Class E Power Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 213-nW/Channel Analog Euclidian Vector Normalizer.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Comparative Study of On-Body Radio-Frequency Links in the 420 MHz-2.4 GHz Range.
Sensors, 2018

Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration.
IEEE J. Solid State Circuits, 2018

Isolator-Less Near-Field RFID Reader for Sub-Cranial Powering/Data Link of Millimeter-Sized Implants.
IEEE J. Solid State Circuits, 2018

A Neuro-Inspired Spike Pattern Classifier.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Hyperdimensional Computing Nanosystem.
CoRR, 2018

Adaptivity to Enable an Efficient and Robust Human Intranet.
CoRR, 2018

Towards TRUE human-centric computation.
Comput. Commun., 2018

Fabrication and Characterization of Flexible Spray-Coated Antennas.
IEEE Access, 2018

Homo technologicus.
Proceedings of the 2018 International Symposium on VLSI Design, 2018

Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 200Mb/s inductively coupled wireless transcranial transceiver achieving 5e-11 BER and 1.5pJ/b transmit energy efficiency.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

An EMG Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Classifier.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Guest Editorial: Alternative Computing and Machine Learning for Internet of Things.
IEEE Trans. Very Large Scale Integr. Syst., 2017

High-Dimensional Computing as a Nanoscalable Paradigm.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Bio-Inspired Analog Gas Sensing Front End.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Reliable Next-Generation Cortical Interfaces for Chronic Brain-Machine Interfaces and Neuroscience.
Proc. IEEE, 2017

Low-Power Sparse Hyperdimensional Encoder for Language Recognition.
IEEE Des. Test, 2017

Far-Field RF Wireless Power Transfer with Blind Adaptive Beamforming for Internet of Things Devices.
IEEE Access, 2017

Design and characterization of a 65nm CMOS wireless RFID reader for ECoG tag.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

Human-centric computing - The case for a Hyper-Dimensional approach.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

EE2: Intelligent machines: Will the technological singularity happen?
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

SLSR: A flexible middleware localization service architecture.
Proceedings of the 2017 International Conference on Indoor Positioning and Indoor Navigation, 2017

Selection and Aggregation of Location Information Provisioning Services.
Proceedings of the 26th International Conference on Computer Communication and Networks, 2017

Exploring Hyperdimensional Associative Memory.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

Blind parallel interrogation of ultrasonic neural dust motes based on canonical polyadic decomposition: A simulation study.
Proceedings of the 25th European Signal Processing Conference, 2017

Isolator-less near-field RFID reader for sub-cranial powering/data link of mm-sized implants.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A Systems Approach to Computing in Beyond CMOS Fabrics: Invited.
Proceedings of the 54th Annual Design Automation Conference, 2017

Optimized Design of a Human Intranet Network.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
A 2.4 GHz Interferer-Resilient Wake-Up Receiver Using A Dual-IF Multi-Stage N-Path Architecture.
IEEE J. Solid State Circuits, 2016

A High Data-Rate Energy-Efficient Triple-Channel UWB-Based Cognitive Radio.
IEEE J. Solid State Circuits, 2016

On the Total Power Capacity of Regular-LDPC Codes With Iterative Message-Passing Decoders.
IEEE J. Sel. Areas Commun., 2016

A Robust and Energy-Efficient Classifier Using Brain-Inspired Hyperdimensional Computing.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Toward standardized localization service.
Proceedings of the International Conference on Indoor Positioning and Indoor Navigation, 2016

Hyperdimensional biosignal processing: A case study for EMG-based hand gesture recognition.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Sampling modulation: An energy efficient novel feature extraction for biosignal processing.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Swarm os control plane: an architecture proposal for heterogeneous and organic networks.
IEEE Trans. Consumer Electron., 2015

The Human Intranet-Where Swarms and Humans Meet.
IEEE Pervasive Comput., 2015

A Minimally Invasive 64-Channel Wireless μECoG Implant.
IEEE J. Solid State Circuits, 2015

A 4.78 mm 2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels With Digital Compression and Simultaneous Dual Stimulation.
IEEE J. Solid State Circuits, 2015

Towards Approaching Total-Power-Capacity: Transmit and Decoding Power Minimization for LDPC Codes.
CoRR, 2015

Energy-Efficient Abundant-Data Computing: The N3XT 1, 000x.
Computer, 2015

A 1Gb/s energy efficient triple-channel UWB-based cognitive radio.
Proceedings of the Symposium on VLSI Circuits, 2015

Brain-machine interfaces - The core of the human intranet.
Proceedings of the 6th International Workshop on Advances in Sensors and Interfaces, 2015

13.5 A -97dBm-sensitivity interferer-resilient 2.4GHz wake-up receiver using dual-IF multi-N-Path architecture in 65nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Swarm OS control plane: An architecture proposal for heterogeneous and organic networks.
Proceedings of the IEEE International Conference on Consumer Electronics, 2015

A 3.1-10.6GHz wavelet-based dual-resolution spectrum sensing with harmonic rejection mixers.
Proceedings of the ESSCIRC Conference 2015, 2015

Ultrasonic beamforming system for interrogating multiple implantable sensors.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Operating system support for mobile robot swarms.
Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, 2015

Semantic swarm.
Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, 2015

2014
Novel Class of Energy-Efficient Very High-Speed Conditional Push-Pull Pulsed Latches.
IEEE Trans. Very Large Scale Integr. Syst., 2014

RFID transceiver for wireless powering brain implanted microelectrodes and backscattered neural data collection.
Microelectron. J., 2014

The Swarm at the Edge of the Cloud.
IEEE Des. Test, 2014

A 4.78mm<sup>2</sup> fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation.
Proceedings of the Symposium on VLSI Circuits, 2014

24.1 A miniaturized 64-channel 225μW wireless electrocorticographic neural sensor.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Impedance modeling of the intracortical microelectrode for a reliable design of a brain activity recording system.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

Beamforming approaches for untethered, ultrasonic neural dust motes for cortical recording: A simulation study.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

2013
A 0.25 V 460 nW Asynchronous Neural Signal Processor With Inherent Leakage Suppression.
IEEE J. Solid State Circuits, 2013

A Fully-Integrated, Miniaturized (0.125 mm<sup>2</sup>) 10.5 µW Wireless Neural Sensor.
IEEE J. Solid State Circuits, 2013

"EChO" Reconfigurable Power Management Unit for Energy Reduction in Sleep-Active Transitions.
IEEE J. Solid State Circuits, 2013

Physical principles for scalable neural recording.
Frontiers Comput. Neurosci., 2013

Wireless powering and data communication for neural implantable electrodes.
Proceedings of the 5th IEEE International Workshop on Advances in Sensors and Interfaces, 2013

The innovation is in the minds.
Proceedings of the 5th IEEE International Workshop on Advances in Sensors and Interfaces, 2013

Energy detection technique for ultra-low power high sensitivity wake-up receiver.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Data communication and power system for wireless neural recording.
Proceedings of 2013 IEEE 18th Conference on Emerging Technologies & Factory Automation, 2013

Equalization for intracortical microstimulation artifact reduction.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

Panel: the heritage of Mead & Conway: what has remained the same, what was missed, what has changed, what lies ahead.
Proceedings of the Design, Automation and Test in Europe, 2013

Wearable and Implantable Antennas for Wireless Body-Centric Sensing Systems.
Proceedings of the 8th International Conference on Body Area Networks, 2013

2012
A 0.013 mm<sup>2</sup>, 5 µW , DC-Coupled Neural Signal Acquisition IC With 0.5 V Supply.
IEEE J. Solid State Circuits, 2012

A Fully Integrated, 290 pJ/bit UWB Dual-Mode Transceiver for cm-Range Wireless Interconnects.
IEEE J. Solid State Circuits, 2012

A fully-integrated 10.5µW miniaturized (0.125mm<sup>2</sup>) wireless neural sensor.
Proceedings of the Symposium on VLSI Circuits, 2012

An 8-PPM, 45 pJ/bit UWB transmitter with reduced number of PA elements.
Proceedings of the Symposium on VLSI Circuits, 2012

A 0.25V 460nW asynchronous neural signal processor with inherent leakage suppression.
Proceedings of the Symposium on VLSI Circuits, 2012

Conditional push-pull pulsed latches with 726fJ·ps energy-delay product in 65nm CMOS.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Choosing "green" codes by simulation-based modeling of implementations.
Proceedings of the 2012 IEEE Global Communications Conference, 2012

Active RFID: Perpetual wireless communications platform for sensors.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A CMOS switched-capacitor fractional bandgap reference.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

EChO power management unit with reconfigurable switched-capacitor converter in 65 nm CMOS.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Statistical Analysis and Optimization of Asynchronous Digital Circuits.
Proceedings of the 18th IEEE International Symposium on Asynchronous Circuits and Systems, 2012

2011
An information-theoretic framework for joint architectural and circuit level optimization for olfactory recognition processing.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

The power cost of over-designing codes.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

"Green codes with short wires at the decoder: Fundamental limits and constructions".
Proceedings of the Information Theory and Applications Workshop, 2011

Beyond the horizon: The next 10x reduction in power - Challenges and solutions.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 0.013mm<sup>2</sup> 5μW DC-coupled neural signal acquisition IC with 0.5V supply.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

Digital energy detection for OOK demodulation in ultra-low power radios.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Linearity analysis of CMOS passive mixer.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A low-leakage parallel CRC generator for ultra-low power applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Brain-machine interfaces as the new frontier in extreme miniaturization.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Powering and communicating with mm-size implants.
Proceedings of the Design, Automation and Test in Europe, 2011

Information theory meets circuit design: Why capacity-approaching codes require more chip area and power.
Proceedings of the 49th Annual Allerton Conference on Communication, 2011

2010
Ultra-Low Power Signal Processing [DSP Forum].
IEEE Signal Process. Mag., 2010

Ultralow-Power Design in Near-Threshold Region.
Proc. IEEE, 2010

A 2.2mW CMOS LNA for 6-8.5GHz UWB receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Always energy-optimal microscopic wireless systems.
Proceedings of the Design, Automation and Test in Europe, 2010

EDA challenges and options: investing for the future.
Proceedings of the 47th Design Automation Conference, 2010

2009
Low Power Design Essentials
Series on Integrated Circuits and Systems, Springer, ISBN: 978-0-387-71713-5, 2009

Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A 52 µW Wake-Up Receiver With -72 dBm Sensitivity Using an Uncertain-IF Architecture.
IEEE J. Solid State Circuits, 2009

SRAM supply voltage scaling: A reliability perspective.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

A 13.2 mW 1.9 GHz Interpolative BAW-based VCO for Miniaturized RF Frequency Synthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

EDA in flux: should I stay or should I go?
Proceedings of the 46th Design Automation Conference, 2009

Technologies for an Autonomous Wireless Home Healthcare System.
Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 2009

2008
The Search for Alternative Computational Paradigms.
IEEE Des. Test Comput., 2008

Challenges and Solutions for Late- and Post-Silicon Design.
IEEE Des. Test Comput., 2008

Workloads of the Future.
IEEE Des. Test Comput., 2008

Analysis of Interference Effects in MB-OFDM UWB Systems.
Proceedings of the WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008, 2008

Multi-mode sub-Nyquist rate digital-to-analog conversion for direct waveform synthesis.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

Computing at the Crossroads (And What Does it Mean to Verification and Test?).
Proceedings of the 2008 IEEE International Test Conference, 2008

A 2GHz 52 μW Wake-Up Receiver with -72dBm Sensitivity Using Uncertain-IF Architecture.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Fundamental Data Retention Limits in SRAM Standby Experimental Results.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

More Moore: foolish, feasible, or fundamentally different?
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Next generation wireless-multimedia devices: who is up for the challenge?
Proceedings of the 45th Design Automation Conference, 2008

PicoCube: a 1 cm<sup>3</sup> sensor node powered by harvested energy.
Proceedings of the 45th Design Automation Conference, 2008

Content Management and Replication in the SNSP: A Distributed Service-Based OS for Sensor Networks.
Proceedings of the 5th IEEE Consumer Communications and Networking Conference, 2008

A brand new wireless day.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Low-Power Successive Approximation Converter With 0.5 V Supply in 90 nm CMOS.
IEEE J. Solid State Circuits, 2007

Exploring Very Low-Energy Logic: A Case Study.
J. Low Power Electron., 2007

Modelling and simulation techniques for highly integrated, low-power wireless sensor networks.
IET Comput. Digit. Tech., 2007

Beyond Sensor Networks: ZUMA Middleware.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2007

Multi-Dimensional Circuit and Micro-Architecture Level Optimization.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Fundamental Bounds on Power Reduction during Data-Retention in Standby SRAM.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Fundamental Redundancy Versus Power Trade-Off in Standby SRAM.
Proceedings of the IEEE International Conference on Acoustics, 2007

A 1 V 250 KPPS 90 NM CMOS pulse based transceiver for CM-range wireless communication.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

A 100KS/s 65dB DR Σ - Δ ADC with 0.65V supply voltage.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Short Distance Wireless, Dense Networks, and Their Opportunities.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Design Without Borders.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Design without Borders - A Tribute to the Legacy of A. Richard Newton.
Proceedings of the 44th Design Automation Conference, 2007

An Ultra-Low-Power Power Management IC for Wireless Sensor Nodes.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

A 65 μW, 1.9 GHz RF to digital baseband wakeup receiver for wireless sensor nodes.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms.
J. VLSI Signal Process., 2006

Overcoming untuned radios in wireless networks with network coding.
IEEE Trans. Inf. Theory, 2006

L. Embedding Mixed-Signal Design in Systems-on-Chip.
Proc. IEEE, 2006

An Ultra-Low-Power Injection Locked Transmitter for Wireless Sensor Networks.
IEEE J. Solid State Circuits, 2006

SRAM Cell Optimization for Ultra-Low Power Standby.
J. Low Power Electron., 2006

The Energy-per-Useful-Bit Metric for Evaluating and Optimizing Sensor Network Physical Layers.
Proceedings of the Third Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks, 2006

An RF ToF Based Ranging Implementation for Sensor Networks.
Proceedings of IEEE International Conference on Communications, 2006

Wireless in the home - opportunities and challenges.
Proceedings of the 2006 IEEE Hot Chips 18 Symposium (HCS), 2006

Research accelerator for multiple processors.
Proceedings of the 2006 IEEE Hot Chips 18 Symposium (HCS), 2006

Is "Network" the next "Big Idea" in design?
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Improving power output for vibration-based energy scavengers.
IEEE Pervasive Comput., 2005

Standby supply voltage minimization for deep sub-micron SRAM.
Microelectron. J., 2005

Modeling and Analysis of Opportunistic Routing in Low Traffic Scenarios.
Proceedings of the 3rd International Symposium on Modeling and Optimization in Mobile, 2005

Does proper coding make single hop wireless sensor networks reality: the power consumption perspective.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2005

Low power synchronization for wireless sensor network modems.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2005

When Does Opportunistic Routing Make Sense?
Proceedings of the 3rd IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2005 Workshops), 2005

Traveling the Wild Frontier of Ultra Low-Power Design.
Proceedings of the Integrated Circuit and System Design, 2005

Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

On the performance of geographical routing in the presence of localization errors [ad hoc network applications].
Proceedings of IEEE International Conference on Communications, 2005

Receiver initiated rendezvous schemes for sensor networks.
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005

A 100 μW, 1.9GHz oscillator with fully digital frequency tuning.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

Wireless platforms: GOPS for cents and MilliWatts.
Proceedings of the 42nd Design Automation Conference, 2005

A low-power mixed-signal baseband system design for wireless sensor networks.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

Design at the end of the silicon roadmap.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Are we ready for system-level synthesis?
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Introduction.
Proceedings of the Ambient Intelligence, 2005

A Service-Based Universal Application Interface for Ad Hoc Wireless Sensor and Actuator Networks.
Proceedings of the Ambient Intelligence, 2005

Ultra-Low Power Integrated Wireless Nodes for Sensor and Actuator Networks.
Proceedings of the Ambient Intelligence, 2005

2004
Ultra Low Power CORDIC Processor for Wireless Communication Algorithms.
J. VLSI Signal Process., 2004

A study of energy consumption and reliability in a multi-hop sensor network.
ACM SIGMOBILE Mob. Comput. Commun. Rev., 2004

An Integrated, Low Power Localization System for Sensor Networks.
Proceedings of the 1st Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2004), 2004

SRAM Leakage Suppression by Minimizing Standby Supply Voltage.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

A class A/B low power amplifier for wireless sensor networks.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

An integrated data-link energy model for wireless sensor networks.
Proceedings of IEEE International Conference on Communications, 2004

Power-efficient rendez-vous schemes for dense wireless sensor networks.
Proceedings of IEEE International Conference on Communications, 2004

Adaptive sleep discipline for energy conservation and robustness in dense sensor networks.
Proceedings of IEEE International Conference on Communications, 2004

Power Sources for Wireless Sensor Networks.
Proceedings of the Wireless Sensor Networks, First European Workshop, 2004

Energy-Aware Routing and Data Funneling in Sensor Networks.
Proceedings of the Handbook of Sensor Networks, 2004

2003
A 300-μW 1.9-GHz CMOS oscillator utilizing micromachined resonators.
IEEE J. Solid State Circuits, 2003

A study of low level vibrations as a power source for wireless sensor nodes.
Comput. Commun., 2003

Lightweight time synchronization for sensor networks.
Proceedings of the Second ACM International Conference on Wireless Sensor Networks and Applications, 2003

Distributed algorithms for transmission power control in wireless sensor networks.
Proceedings of the 2003 IEEE Wireless Communications and Networking, 2003

Massively Parallel Wireless Reconfigurable Processor Architecture and Programming.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Reshaping EDA for power.
Proceedings of the 40th Design Automation Conference, 2003

A low-energy chip-set for wireless intercom.
Proceedings of the 40th Design Automation Conference, 2003

UML and Platform-based Design.
Proceedings of the UML for Real - Design of Embedded Real-Time Systems, 2003

2002
Energy aware routing for low energy ad hoc sensor networks.
Proceedings of the 2002 IEEE Wireless Communications and Networking Conference Record, 2002

Robust Positioning Algorithms for Distributed Ad-Hoc Wireless Sensor Networks.
Proceedings of the General Track: 2002 USENIX Annual Technical Conference, 2002

Ultra Low-Energy Transceivers for Wireless Sensor Networks.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

What's the next EDA driver?
Proceedings of the 39th Design Automation Conference, 2002

2001
Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System.
J. VLSI Signal Process., 2001

Limitations and challenges of computer-aided design technology for CMOS VLSI.
Proc. IEEE, 2001

Wireless beyond the third generation wireless beyond the third generation: facing the energy challenge.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Reconfigurable platform design for wireless protocol processors.
Proceedings of the IEEE International Conference on Acoustics, 2001

Location in distributed ad-hoc wireless sensor networks.
Proceedings of the IEEE International Conference on Acoustics, 2001

Low power distributed MAC for ad hoc sensor radio networks.
Proceedings of the Global Telecommunications Conference, 2001

Design methodology for PicoRadio networks.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design.
Proceedings of the 38th Design Automation Conference, 2001

2000
Low-swing on-chip signaling techniques: effectiveness and robustness.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Maximally and arbitrarily fast implementation of linear andfeedback linear computations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

System-level design: orthogonalization of concerns andplatform-based design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing.
IEEE J. Solid State Circuits, 2000

PicoRadio Supports Ad Hoc Ultra-Low Power Wireless Networking.
Computer, 2000

MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Processors for Mobile Applications.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

Challenges and Opportunities in Broadband and Wireless Communication Designs.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Designing wireless protocols: methodology and applications.
Proceedings of the IEEE International Conference on Acoustics, 2000

Silicon Platforms for the Next Generation Wireless Systems - What Role Does Reconfigurable Hardware Play?
Proceedings of the Field-Programmable Logic and Applications, 2000

Predicting performance potential of modern DSPs.
Proceedings of the 37th Conference on Design Automation, 2000

Wireless protocols design: challenges and opportunities.
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000

Low-power silicon architecture for wireless communications: embedded tutorial.
Proceedings of ASP-DAC 2000, 2000

Retargetable estimation scheme for DSP architecture selection.
Proceedings of ASP-DAC 2000, 2000

1999
Algorithm selection: a quantitative optimization-intensive approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

The design of a low energy FPGA.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

1998
The Conceptual-Level Design Approach to Complex Systems.
J. VLSI Signal Process., 1998

Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC's.
IEEE Trans. Very Large Scale Integr. Syst., 1998

VLSI design and implementation fuels the signal-processing revolution.
IEEE Signal Process. Mag., 1998

Invited Address: Hybrid Reconfigurable Processors - The Road to Low-Power Consumption.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Low-swing interconnect interface circuits.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

Low-energy embedded FPGA structures.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

Evaluation of a Low-Power Reconfigurable DSP Architecture.
Proceedings of the Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30, 1998

An Energy-Conscious Exploration Methodology for Reconfigurable DSPs.
Proceedings of the 1998 Design, 1998

A Multiprocessor DSP System Using PADDI-2.
Proceedings of the 35th Conference on Design Automation, 1998

A Methodology for Guided Behavioral-Level Optimization.
Proceedings of the 35th Conference on Design Automation, 1998

An energy conscious methodology for early design exploration of heterogeneous DSPs.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

1997
A partitioning scheme for optimizing interconnect power.
IEEE J. Solid State Circuits, 1997

System-level power estimation and optimization - challenges and perspectives.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Reconfigurable processing: the solution to low-power programmable DSP.
Proceedings of the 1997 IEEE International Conference on Acoustics, 1997

A Dynamic Design Estimation and Exploration Environment.
Proceedings of the 34st Conference on Design Automation, 1997

1996
A low-power, lightweight unit to provide ubiquitous information access application and network support for InfoPad.
IEEE Wirel. Commun., 1996

Low-power architectural synthesis and the impact of exploiting locality.
J. VLSI Signal Process., 1996

Analysis of multidimensional DSP specifications.
IEEE Trans. Signal Process., 1996

Activity-sensitive architectural power analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Performance optimization using template mapping for datapath-intensive high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

An Integrated CAD Environment for Low-Power Design.
IEEE Des. Test Comput., 1996

Which has greater potential power impact: high-level design and algorithms or innovative low power technology? (panel).
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

A Global QoS Management for Wireless Network.
Proceedings of the Mobile Communications: Technology, 1996

Exploiting regularity for low-power design.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Early Power Exploration - A World Wide Web Application.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Synthesis for real time systems: Solutions and challenges.
J. VLSI Signal Process., 1995

Guest editor's introduction design environments for DSP.
J. VLSI Signal Process., 1995

Architectural power analysis: The dual bit type method.
IEEE Trans. Very Large Scale Integr. Syst., 1995

Optimizing power using transformations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Power conscious CAD tools and methodologies: a perspective.
Proc. IEEE, 1995

Activity-sensitive architectural power analysis for the control path.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

Efficient throughput optimization of feedback linear computations using generalized Horner's scheme.
Proceedings of the 1995 International Conference on Acoustics, 1995

Design guidance in the power dimension.
Proceedings of the 1995 International Conference on Acoustics, 1995

Power minimization in DSP application specific systems using algorithm selection.
Proceedings of the 1995 International Conference on Acoustics, 1995

InfoNet: the Networking Infrastructure of InfoPad.
Proceedings of the COMPCON '95: Technologies for the Information Superhighway, 1995

Design of Wireless Portable Systems.
Proceedings of the COMPCON '95: Technologies for the Information Superhighway, 1995

A prototype user interface for a mobile multimedia terminal.
Proceedings of the Human Factors in Computing Systems, 1995

1994
Optimizing throughput and resource utilization using pipelining: Transformation based approach.
J. VLSI Signal Process., 1994

A CAD environment for Real-time DSP implementations on multiprocessors.
J. VLSI Signal Process., 1994

Estimating implementation bounds for real time DSP application specific circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Optimizing resource utilization using transformations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Research challenges in wireless multimedia.
Proceedings of the 5th IEEE International Symposium on Personal, 1994

Is it Possible to achieve a Teraflop/s on a chip? From High Performance Algorithms to Architectures.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Algorithm selection: a quantitative computation-intensive optimization approach.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Specification and support for multidimensional DSP in the SILAGE language.
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994

Concurrency characteristics in DSP programs.
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994

Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Memory Estimation for High Level Synthesis.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Scheduling of DSP programs onto multiprocessors for maximum throughput.
IEEE Trans. Signal Process., 1993

High level synthesis for reconfigurable datapath structures.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Instruction set mapping for performance optimization.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

On unlimited parallelism of DSP arithmetic computations.
Proceedings of the IEEE International Conference on Acoustics, 1993

High Level Synthesis Techniques for Efficient Built-In-Self Repair.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993

Heterogeneous BISR techniques for yield and reliability enhancement using high level synthesis transformations.
Proceedings of the International Conference on Application-Specific Array Processors, 1993

1992
Scheduling Algorithms For Hierarchical Data Control Flow Graphs.
Int. J. Circuit Theory Appl., 1992

Maximally fast and arbitrarily fast implementation of linear computations.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

HYPER-LP: a system for power minimization using architectural transformations.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Fast implementation of recursive programs using transformations.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

A compiler for multiprocessor DSP implementation.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

Pipelining: just another transformation.
Proceedings of the Application Specific Array Processors, 1992

Hierarchical scheduling of DSP programs onto multiprocessors for maximum throughput.
Proceedings of the Application Specific Array Processors, 1992

An integrated system for rapid prototyping of high performance algorithm specific data paths.
Proceedings of the Application Specific Array Processors, 1992

1991
An integrated CAD system for algorithm-specific IC design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Fast Prototyping of Datapath-Intensive Architectures.
IEEE Des. Test Comput., 1991

1990
An efficient microcode compiler for application specific DSP processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Hardware for Hidden Markov-Model-Based, Large-Vocabulary Real-Time Speech Recognition.
Proceedings of the Speech and Natural Language: Proceedings of a Workshop Held at Hidden Valley, 1990

DSP specification using the Silage language.
Proceedings of the 1990 International Conference on Acoustics, 1990

CGE: automatic generation of controllers in the CATHEDRAL-II silicon compiler.
Proceedings of the European Design Automation Conference, 1990

1989
Interprocessor communication in synchronous multiprocessor digital signal processing chips.
IEEE Trans. Acoust. Speech Signal Process., 1989

HYPER: an interactive synthesis environment for high performance real time applications.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989

A large-vocabulary real-time continuous-speech recognition system.
Proceedings of the IEEE International Conference on Acoustics, 1989

A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
Architectural strategies for an application-specific synchronous multiprocessor environment.
IEEE Trans. Acoust. Speech Signal Process., 1988

1986
Cathedral-II: A Silicon Compiler for Digital Signal Processing.
IEEE Des. Test, 1986

Experiences with automatic generation of audio band digital signal processing circuits.
Proceedings of the IEEE International Conference on Acoustics, 1986

An intelligent module generator environment.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

1985
An Integrated Automated Layout Generation System for DSP Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985


  Loading...