Abu Sebastian

Orcid: 0000-0001-5603-5243

According to our database1, Abu Sebastian authored at least 124 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Designing Circuits for AiMC Based on Non-Volatile Memories: A Tutorial Brief on Trade-Off and Strategies for ADCs and DACs Co-Design.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

A Precision-Optimized Fixed-Point Near-Memory Digital Processing Unit for Analog In-Memory Computing.
CoRR, 2024

Zero-shot Classification using Hyperdimensional Computing.
CoRR, 2024

Probabilistic Abduction for Visual Abstract Reasoning via Learning Rules in Vector-symbolic Architectures.
CoRR, 2024

Improving the Accuracy of Analog-Based In-Memory Computing Accelerators Post-Training.
CoRR, 2024

LionHeart: A Layer-based Mapping Framework for Heterogeneous Systems with Analog In-Memory Computing Tiles.
CoRR, 2024

2023
Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks.
IEEE Trans. Neural Networks Learn. Syst., December, 2023

Programming Weights to Analog In-Memory Computing Cores by Direct Minimization of the Matrix-Vector Multiplication Error.
IEEE J. Emerg. Sel. Topics Circuits Syst., December, 2023

Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors.
IEEE Wirel. Commun., August, 2023

ALPINE: Analog In-Memory Acceleration With Tight Processor Integration for Deep Learning.
IEEE Trans. Computers, July, 2023

A neuro-vector-symbolic architecture for solving Raven's progressive matrices.
Nat. Mac. Intell., April, 2023

WHYPE: A Scale-Out Architecture With Wireless Over-the-Air Majority for Scalable In-Memory Hyperdimensional Computing.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Raw data related to In-memory factorization of holographic perceptual representations.
Dataset, February, 2023

Few-Shot Continual Learning Based on Vector Symbolic Architectures.
Proceedings of the Compendium of Neurosymbolic Artificial Intelligence, 2023

TCNCA: Temporal Convolution Network with Chunked Attention for Scalable Sequence Processing.
CoRR, 2023

Using the IBM Analog In-Memory Hardware Acceleration Kit for Neural Network Training and Inference.
CoRR, 2023

Gradient descent-based programming of analog in-memory computing cores.
CoRR, 2023

Factorizers for Distributed Sparse Block Codes.
CoRR, 2023

Hardware-aware training for large-scale and diverse deep learning inference workloads using in-memory computing-based accelerators.
CoRR, 2023

MIMONets: Multiple-Input-Multiple-Output Neural Networks Exploiting Computation in Superposition.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Solving Raven's Progressive Matrices via a Neuro-vector-symbolic Architecture.
Proceedings of the 17th International Workshop on Neural-Symbolic Learning and Reasoning, 2023

Decoding Superpositions of Bound Symbols Represented by Distributed Representations.
Proceedings of the 17th International Workshop on Neural-Symbolic Learning and Reasoning, 2023

Impact of Phase-Change Memory Drift on Energy Efficiency and Accuracy of Analog Compute-in-Memory Deep Learning Inference (Invited).
Proceedings of the IEEE International Reliability Physics Symposium, 2023

AnalogNAS: A Neural Network Design Framework for Accurate Inference with Analog In-Memory Computing.
Proceedings of the IEEE International Conference on Edge Computing and Communications, 2023

2022
Precision of bit slicing with in-memory computing based on analog phase-change memory crossbars.
Neuromorph. Comput. Eng., 2022

2022 roadmap on neuromorphic computing and engineering.
Neuromorph. Comput. Eng., 2022

ML-HW Co-Design of Noise-Robust TinyML Models and Always-On Analog Compute-in-Memory Edge Accelerator.
IEEE Micro, 2022

Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion.
IEEE Micro, 2022

HERMES-Core - A 1.59-TOPS/mm<sup>2</sup> PCM on 14-nm CMOS In-Memory Compute Core Using 300-ps/LSB Linearized CCO-Based ADCs.
IEEE J. Solid State Circuits, 2022

MNEMOSENE: Tile Architecture and Simulator for Memristor-based Computation-in-memory.
ACM J. Emerg. Technol. Comput. Syst., 2022

A 64-core mixed-signal in-memory compute chip based on phase-change memory for deep neural network inference.
CoRR, 2022

In-memory factorization of holographic perceptual representations.
CoRR, 2022

Benchmarking energy consumption and latency for neuromorphic computing in condensed matter and particle physics.
CoRR, 2022

Wireless On-Chip Communications for Scalable In-memory Hyperdimensional Computing.
Proceedings of the International Joint Conference on Neural Networks, 2022

In-memory Realization of In-situ Few-shot Continual Learning with a Dynamically Evolving Explicit Memory.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Constrained Few-shot Class-incremental Learning.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

2021
A Multi-Memristive Unit-Cell Array With Diagonal Interconnects for In-Memory Computing.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Energy Efficient In-Memory Hyperdimensional Encoding for Spatio-Temporal Signal Processing.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Efficient Pipelined Execution of CNNs Based on In-Memory Computing and Graph Homomorphism Verification.
IEEE Trans. Computers, 2021

Parallel convolutional processing using an integrated photonic tensor core.
Nat., 2021

Accelerating Inference of Convolutional Neural Networks Using In-memory Computing.
Frontiers Comput. Neurosci., 2021

Fulfilling Brain-inspired Hyperdimensional Computing with In-memory Computing.
ERCIM News, 2021

AnalogNets: ML-HW Co-Design of Noise-robust TinyML Models and Always-On Analog Compute-in-Memory Accelerator.
CoRR, 2021

Measurement of onset of structural relaxation in melt-quenched phase change materials.
CoRR, 2021

2021 Roadmap on Neuromorphic Computing and Engineering.
CoRR, 2021

HERMES Core - A 14nm CMOS and PCM-based In-Memory Compute Core using an array of 300ps/LSB Linearized CCO-based ADCs and local digital processing.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

Accurate Weight Mapping in a Multi-Memristive Synaptic Unit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021


Architecting more than Moore: wireless plasticity for massive heterogeneous computer architectures (WiPLASH).
Proceedings of the CF '21: Computing Frontiers Conference, 2021

A Flexible and Fast PyTorch Toolkit for Simulating Training and Inference on Analog Crossbar Arrays.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

Real-time Language Recognition using Hyperdimensional Computing on Phase-change Memory Array.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Graphene-based Wireless Agile Interconnects for Massive Heterogeneous Multi-chip Processors.
CoRR, 2020

Robust High-dimensional Memory-augmented Neural Networks.
CoRR, 2020

Short-term synaptic plasticity optimally models continuous environments.
CoRR, 2020

Memristors - from In-memory computing, Deep Learning Acceleration, Spiking Neural Networks, to the Future of Neuromorphic and Bio-inspired Computing.
CoRR, 2020

Compiling Neural Networks for a Computational Memory Accelerator.
CoRR, 2020

Parallel convolution processing using an integrated photonic tensor core.
CoRR, 2020

Mixed-precision deep learning based on computational memory.
CoRR, 2020

Memristors - From In-Memory Computing, Deep Learning Acceleration, and Spiking Neural Networks to the Future of Neuromorphic and Bio-Inspired Computing.
Adv. Intell. Syst., 2020

In-Memory Database Query.
Adv. Intell. Syst., 2020

File Classification Based on Spiking Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Accurate Emulation of Memristive Crossbar Arrays for In-Memory Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

ESSOP: Efficient and Scalable Stochastic Outer Product Architecture for Deep Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Temperature Compensation Schemes for In-Memory Computing using Phase-Change Memory.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Low-Power Neuromorphic Hardware for Signal Processing Applications: A review of architectural and system-level design approaches.
IEEE Signal Process. Mag., 2019

Deep learning acceleration based on in-memory computing.
IBM J. Res. Dev., 2019

5 Parallel Prism: A topology for pipelined implementations of convolutional neural networks using computational memory.
CoRR, 2019

Accurate deep neural network inference using computational phase-change memory.
CoRR, 2019

In-memory hyperdimensional computing.
CoRR, 2019

Supervised Learning in Spiking Neural Networks with Phase-Change Memory Synapses.
CoRR, 2019

Low-Power Neuromorphic Hardware for Signal Processing Applications.
CoRR, 2019

Computational memory-based inference and training of deep neural networks.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Multi-ReRAM Synapses for Artificial Neural Network Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019


Phase-Change Memory Models for Deep Learning Training and Inference.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Phase-change memory enables energy-efficient brain-inspired computing.
Proceedings of the Device Research Conference, 2019

Applications of Computation-In-Memory Architectures based on Memristive Devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Flux-Charge Memristor Model for Phase Change Memory.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

In-Memory Computing: Towards Energy-Efficient Artificial Intelligence.
ERCIM News, 2018

In-memory computing on a photonic platform.
CoRR, 2018

Impact of conductance drift on multi-PCM synaptic architectures.
Proceedings of the Non-Volatile Memory Technology Symposium, 2018

Exploiting the non-linear current-voltage characteristics for resistive memory readout.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Mixed-precision architecture based on computational memory for training deep neural networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Spiking Neural Networks Enable Two-Dimensional Neurons and Unsupervised Multi-Timescale Learning.
Proceedings of the 2018 International Joint Conference on Neural Networks, 2018

2017
Mixed-precision training of deep neural networks using computational memory.
CoRR, 2017

Neuromorphic computing with multi-memristive synapses.
CoRR, 2017

Temporal correlation detection using computational phase-change memory.
CoRR, 2017

Mixed-Precision Memcomputing.
CoRR, 2017

An efficient synaptic architecture for artificial neural networks.
Proceedings of the 17th Non-Volatile Memory Technology Symposium, 2017

Fatiguing STDP: Learning from spike-timing codes in the presence of rate codes.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

2016
Recent Progress in Phase-Change Memory Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

Inherent stochasticity in phase-change memory devices.
Proceedings of the 46th European Solid-State Device Research Conference, 2016

Probing the micromechanics of the fastest growing plant cell - The pollen tube.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

2015
A collective relaxation model for resistance drift in phase change memory cells.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

2013
Nanopositioning With Impulsive State Multiplication: A Hybrid Control Approach.
IEEE Trans. Control. Syst. Technol., 2013

Nonvolatile resistive memory devices based on hydrogenated amorphous carbon.
Proceedings of the European Solid-State Device Research Conference, 2013

2012
Nanopositioning With Multiple Sensors: A Case Study in Data Storage.
IEEE Trans. Control. Syst. Technol., 2012

Tracking of Triangular References Using Signal Transformation for Control of a Novel AFM Scanner Stage.
IEEE Trans. Control. Syst. Technol., 2012

A dual-stage nanopositioning approach to high-speed scanning probe microscopy.
Proceedings of the 51th IEEE Conference on Decision and Control, 2012

Optimal scan trajectories for high-speed scanning probe microscopy.
Proceedings of the American Control Conference, 2012

2011
An analysis of signal transformation approach to triangular waveform tracking.
Autom., 2011

Programming algorithms for multilevel phase-change memory.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Impulsive control for nanopositioning: stability and performance.
Proceedings of the 14th ACM International Conference on Hybrid Systems: Computation and Control, 2011

2010
Channel Modeling and Signal Processing for Probe Storage Channels.
IEEE J. Sel. Areas Commun., 2010

Multilevel phase-change memory.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Stability of signal transformation method for triangular waveform tracking.
Proceedings of the 49th IEEE Conference on Decision and Control, 2010

2009
High-speed intermittent-contact mode scanning probe microscopy using cantilevers with integrated electrostatic actuator and thermoelectric sensor.
Proceedings of the American Control Conference, 2009

2008
Probe-based ultrahigh-density storage technology.
IBM J. Res. Dev., 2008

Modeling and identification of the dynamics of electrostatically actuated microcantilever with integrated thermal sensor.
Proceedings of the 47th IEEE Conference on Decision and Control, 2008

On intermittent-contact mode sensing using electrostatically-actuated micro-cantilevers with integrated thermal sensors.
Proceedings of the American Control Conference, 2008

2007
A Review of the Systems Approach to the Analysis of Dynamic-Mode Atomic Force Microscopy.
IEEE Trans. Control. Syst. Technol., 2007

Control of MEMS-Based Scanning-Probe Data-Storage Devices.
IEEE Trans. Control. Syst. Technol., 2007

Jitter Investigation and Performance Evaluation of a Small-Scale Probe Storage Device Prototype.
Proceedings of the Global Communications Conference, 2007

2005
Design methodologies for robust nano-positioning.
IEEE Trans. Control. Syst. Technol., 2005

Signal processing for probe storage.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

Two-sensor-based H∞ control for nanopositioning in probe storage.
Proceedings of the 44th IEEE IEEE Conference on Decision and Control and 8th European Control Conference Control, 2005

Nanopositioning for probe storage.
Proceedings of the American Control Conference, 2005

2004
The amplitude phase dynamics and fixed points in tapping-mode atomic force microscopy.
Proceedings of the 2004 American Control Conference, 2004

Thermal noise response based control of tip-sample separation in AFM.
Proceedings of the 2004 American Control Conference, 2004

2003
An observer based sample detection scheme for atomic force microscopy.
Proceedings of the 42nd IEEE Conference on Decision and Control, 2003

Robust control approach to atomic force microscopy.
Proceedings of the 42nd IEEE Conference on Decision and Control, 2003

Control of the nanopositioning devices.
Proceedings of the 42nd IEEE Conference on Decision and Control, 2003

H<sub>∞</sub> loop shaping design for nano-positioning.
Proceedings of the American Control Conference, 2003

2002
Design, identification and control of a fast nanopositioning device.
Proceedings of the American Control Conference, 2002


  Loading...