Sunghyun Park

Affiliations:
  • University of Michigan, Ann Arbor, USA


According to our database1, Sunghyun Park authored at least 8 papers between 2005 and 2009.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2009
A 9-Gbit/s Serial Transceiver for On-Chip Global Signaling Over Lossy Transmission Lines.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A 2.5 mW 80 dB DR 36 dB SNDR 22 MS/s Logarithmic Pipeline ADC.
IEEE J. Solid State Circuits, 2009

2008
A 9Gbit/s serial transceiver for on-chip global signaling over lossy transmission lines.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
A 4-GS/s 4-bit Flash ADC in 0.18-µm CMOS.
IEEE J. Solid State Circuits, 2007

2006
A regenerative comparator structure with integrated inductors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

A 4GS/s 4b Flash ADC in 0.18µm CMOS.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A 3.5 GS/s 5-b Flash ADC in 90 nm CMOS.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
Design techniques for high performance CMOS flash analog-to-digital converters.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005


  Loading...