Jongwoo Lee

Orcid: 0000-0003-1093-7430

According to our database1, Jongwoo Lee authored at least 88 papers between 1999 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A 4-nm 16-Gb/s/pin Single-Ended PAM-4 Parallel Transceiver With Switching-Jitter Compensation and Transmitter Optimization.
IEEE J. Solid State Circuits, January, 2024

9.2 A 2.08mW 64.4dB SNDR 400MS/s 12b Pipelined-SAR ADC using Mismatch and PVT Variation Tolerant Dynamically Biased Ring Amplifier in 8nm.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

14.6 A 10A Computational Digital LDO Achieving 263A/mm<sup>2</sup> Current Density with Distributed Power-Gating Switches and Time-Based Fast-Transient Controller for Mobile SoC Application in 3nm GAAFET.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

9.6 A 6<sup>th</sup>-Order Quadrature CTDSM using Double-OTA and Quadrature NSSAR with 171.3dB FoMs in 14nm.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

31.3 A 950ns 0.5-to-5.5V 5G NR RF PA Supply Modulator with Floating Capacitor Control for Symbol Power Tracking.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Fully Integrated IEEE 802.15.4/4z-Compliant UWB System-on-Chip RF Transceiver Supporting Precision Positioning in a CMOS 28-nm Process.
IEEE J. Solid State Circuits, December, 2023

A 208-MHz, 0.75-mW Self-Calibrated Reference Frequency Quadrupler for a 2-GHz Fractional-N Ring-PLL in 4-nm FinFET CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, August, 2023

A 2.4-to-4.2GHz 440.2fsrms-Integrated-Jitter 4.3mW Ring-Oscillator-Based PLL Using a Switched-Capacitor-Bias-Based Sampling PD in 4nm FinFET CMOS.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

5G NR RF PA Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope Tracking.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A Fully Integrated IEEE 802.15.4/4z-Compliant 6.5-to-8GHz UWB System-on-Chip RF Transceiver Supporting Precision Positioning in a CMOS 28nm Process.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 4nm 16Gb/s/pin Single-Ended PAM4 Parallel Transceiver with Switching-Jitter Compensation and Transmitter Optimization.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Wide Frequency Range, Small Area and Low Supply Memory Interface PLL Using a Process and Temperature Variation Aware Current Reference in 3 nm Gate-All Around CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
A Single Path Digital-IF Receiver Supporting Inter/Intra 5-CA With a Single Integer LO-PLL in 14-nm CMOS FinFET.
IEEE J. Solid State Circuits, 2022

Efficient RF-PA Two-Chip Supply Modulator Architecture for 4G LTE and 5G NR Dual-Connectivity RF Front End.
IEEE J. Solid State Circuits, 2022

Fully Integrated 2x2 MIMO Real Simultaneous Dual Band WiFi CMOS Power Amplifiers With a Single Inductor Multiple Output Supply Modulation Technique.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 3nm GAAFET Analog Assisted Digital LDO with High Current Density for Dynamic Voltage Scaling Mobile Applications.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 0.56mW 63.6dB SNDR 250MS/s SAR ADC in 8nm FinFET.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A Single-Path Digital-IF Receiver Supporting Inter/Intra 5-CA with a Single Integer LO-PLL in 14nm CMOS FinFET.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A Single-Crystal-Oscillator-Based Clock-Management IC with 18× Start-Up Time Reduction and 0.68ppm/ºC Duty-Cycled Machine-Learning-Based RCO Calibration.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 52MHz -158.2dBc/Hz PN @ 100kHz Digitally Controlled Crystal Oscillator Utilizing a Capacitive-Load-Dependent Dynamic Feedback Resistor in 28nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

2-Tx Digital Envelope-Tracking Supply Modulator Achieving 200MHz Channel Bandwidth and 93.6% Efficiency for 2G/3G/LTE/NR RF Power Amplifiers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Speech-Recognizing KIOSK Mobile Application for the Visually Impaired.
Proceedings of the 14th International Conference on Education Technology and Computers, 2022

A 64Gb/s Downlink and 32Gb/s Uplink NRZ Wireline Transceiver with Supply Regulation, Background Clock Correction and EOM-based Channel Adaptation for Mid-Reach Cellular Mobile Interface in 8nm FinFET.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
A 5G New Radio SAW-less RF Transmitter with a 100MHz Envelope Tracking HPUE n77 Power Amplifier Module.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

Efficient RF-PA Two-Chip Supply Modulator Architecture for 4G LTE and 5G NR Dual-Connectivity RF Front-End.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

10.1 A 116μ W 104.4dB-DR 100.6dB-SNDR CT Δ∑ Audio ADC Using Tri-Level Current-Steering DAC with Gate-Leakage Compensated Off-Transistor-Based Bias Noise Filter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

6.1 A Low-Power and Low-Cost 14nm FinFET RFIC Supporting Legacy Cellular and 5G FR1.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

33.9 A Hybrid Switching Supply Modulator Achieving 130MHz Envelope-Tracking Bandwidth and 10W Output Power for 2G/3G/LTE/NR RF Power Amplifiers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Session 10 Overview: Continuous-Time ADCs and DACs Data Converter Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Muscle-reflex model of human locomotion entrains to mechanical perturbations.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

2020
A Theoretical Framework for Stability Regions for Standing Balance of Humanoids Based on Their LIPM Treatment.
IEEE Trans. Syst. Man Cybern. Syst., 2020

NB-IoT and GNSS All-In-One System-On-Chip Integrating RF Transceiver, 23-dBm CMOS Power Amplifier, Power Management Unit, and Clock Management System for Low Cost Solution.
IEEE J. Solid State Circuits, 2020

Interface Modeling for Digital Device Control According to Disability Type in Web.
J. Multim. Inf. Syst., 2020

How does the structure embedded in learning policy affect learning quadruped locomotion?
CoRR, 2020

A Comparative Study on the L<sub>1</sub> Optimal Event-Based Method for Biped Walking on Rough Terrains.
IEEE Access, 2020

A 4GHz 0.73psrms-Integrated-Jitter PVT-Insensitive Fractional-N Sub-Sampling Ring PLL with a Jitter-Tracking DLL-Assisted DTC.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

An RF Transceiver with Full Digital Interface Supporting 5G New Radio FR1 with 3.84Gbps DL/1.92Gbps UL and Dual-Band GNSS in 14nm FinFET CMOS.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

30.2 NB-IoT and GNSS All-in-One System-on-Chip Integrating RF Transceiver, 23dBm CMOS Power Amplifier, Power Management Unit and Clock Management System for Low-Cost Solution.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

11.7 A Voltage-Tolerant Three-Level Buck-Boost DC-DC Converter with Continuous Transfer Current and Flying Capacitor Soft Charger Achieving 96.8% Power Efficiency and 0.87µs/V DVS Rate.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

An Effective Transconductance Controlled Offset Calibration for Dynamic Comparators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Modulating hip stiffness with a robotic exoskeleton immediately changes gait.
Proceedings of the 2020 IEEE International Conference on Robotics and Automation, 2020

Overground gait patterns changed by modulating hip stiffness with a robotic exoskeleton<sup>*</sup>.
Proceedings of the 8th IEEE RAS/EMBS International Conference for Biomedical Robotics and Biomechatronics, 2020

2019
Design and Analysis of a 12-b Current-Steering DAC in a 14-nm FinFET Technology for 2G/3G/4G Cellular Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Sub-6-GHz 5G New Radio RF Transceiver Supporting EN-DC With 3.15-Gb/s DL and 1.27-Gb/s UL in 14-nm FinFET CMOS.
IEEE J. Solid State Circuits, 2019

A study on novel filtering and relationship between input-features and target-vectors in a deep learning model for stock price prediction.
Appl. Intell., 2019

A 90ns/V Fast-Transition Symbol-Power-Tracking Buck Converter for 5G mm-Wave Phased-Array Transceiver.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

An 88%-Efficiency Supply Modulator Achieving 1.08μs/V Fast Transition and 100MHz Envelope-Tracking Bandwidth for 5G New Radio RF Power Amplifier.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Sub-6GHz 5G New Radio RF Transceiver Supporting EN-DC with 3.15Gb/s DL and 1.27Gb/s UL in 14nm FinFET CMOS.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Feasibility of Gait Entrainment to Hip Mechanical Perturbation for Locomotor Rehabilitation.
Proceedings of the 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2019

Human-inspired balance model to account for foot-beam interaction mechanics.
Proceedings of the International Conference on Robotics and Automation, 2019

A Blocker-Tolerant Direct Sampling Receiver for Wireless Multi-Channel Communication in 14nm FinFET CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A 9.4MHz-to-2.4GHz Jitter-Power Reconfigurable Fractional-N Ring PLL for Multi-Standard Applications in 7nm FinFET CMOS Technology.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

Design of stock price prediction model with various configuration of input features.
Proceedings of the International Conference on Artificial Intelligence, 2019

2018
A 2TX supply modulator for envelope-tracking power amplifier supporting intra- and inter-band uplink carrier aggregation and power class-2 high-power user equipment.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A Ka-band Phase Shifting Low Noise Amplifier with Gain Error Compensation for 5G RF beam forming array using 14nm FinFET CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Robot Controllers Compatible with Human Beam Balancing Behavior.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

Performance Evaluation of Deep Learning Stock Price by Chart Type for Buying Policy Verification.
Proceedings of the Fuzzy Systems and Data Mining IV, 2018

2017
Control strategy for stabilization of the biped trunk-SLIP walking model.
Proceedings of the 14th International Conference on Ubiquitous Robots and Ambient Intelligence, 2017

A 14nm FinFET analog baseband SOC for multi-mode cellular applications with tri-band carrier aggregation.
Proceedings of the International SoC Design Conference, 2017

A method for robust robotic bipedal walking on rough terrain: L1-optimal event-based feedback controller.
Proceedings of the 2017 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2017

Stability regions for standing balance of biped humanoid robots.
Proceedings of the 2017 IEEE International Conference on Robotics and Automation, 2017

A reconfigurable analog baseband transformer for multistandard applications in 14nm FinFET CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

A force direction control method for robotic bipedal walking based on a reduced order model.
Proceedings of the IEEE International Conference on Advanced Intelligent Mechatronics, 2017

Energy-efficient robotic leg design using redundantly actuated parallel mechanism.
Proceedings of the IEEE International Conference on Advanced Intelligent Mechatronics, 2017

2016
Implementation of trot-to-gallop transition and subsequent gallop on the MIT Cheetah I.
Int. J. Robotics Res., 2016

A planar stable walking model based on ankle actuation and the virtual pendulum concept.
Proceedings of the 2016 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2016

A novel performance measure for biped robots against bounded persistent disturbances.
Proceedings of the 2016 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2016

A study on the L1 optimal PD controller with application to joint motion control of a robot manipulator.
Proceedings of the 2016 IEEE International Conference on Robotics and Automation, 2016

A novel multi-articular leg mechanism for biped robots inspired by bi-articular muscle.
Proceedings of the 6th IEEE International Conference on Biomedical Robotics and Biomechatronics, 2016

2014
High speed trot-running: Implementation of a hierarchical controller using proprioceptive impedance control on the MIT Cheetah.
Int. J. Robotics Res., 2014

On the dynamics of a quadruped robot model with impedance control: Self-stabilizing high speed trot-running and period-doubling bifurcations.
Proceedings of the 2014 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2014

A reconfigurable analog baseband for single-chip, Saw-less, 2G/3G/4G cellular transceivers with carrier aggregation.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

What is a good way to expand a silicon value to a solution value?
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2012
Tails in biomimetic design: Analysis, simulation, and experiment.
Proceedings of the 2012 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2012

2010
A Tunable Biquad Switched-Capacitor Amplifier-Filter for Neural Recording.
IEEE Trans. Biomed. Circuits Syst., 2010

A 64 Channel Programmable Closed-Loop Neurostimulator With 8 Channel Neural Amplifier and Logarithmic ADC.
IEEE J. Solid State Circuits, 2010

2009
A 2.5 mW 80 dB DR 36 dB SNDR 22 MS/s Logarithmic Pipeline ADC.
IEEE J. Solid State Circuits, 2009

2008
Universal Video Adaptation Model for Contents Delivery in Ubiquitous Computing.
Proceedings of the Computer-Human Interaction, 8th Asia-Pacific Conference, 2008

2007
A Multiagent Approach to Q-Learning for Daily Stock Trading.
IEEE Trans. Syst. Man Cybern. Part A, 2007

SCSTallocator: Sized and Call-Site Tracing-Based Shared Memory Allocator for False Sharing Reduction in Page-Based DSM Systems.
Proceedings of the Intelligent Data Engineering and Automated Learning, 2007

2006
Adaptive stock trading with dynamic asset allocation using reinforcement learning.
Inf. Sci., 2006

CSTallocator: Call-Site Tracing Based Shared Memory Allocator for False Sharing Reduction in Page-Based DSM Systems.
Proceedings of the High Performance Computing and Communications, 2006

2005
Dynamic Asset Allocation for Stock Trading Optimized by Evolutionary Computation.
IEICE Trans. Inf. Syst., 2005

2004
Dynamic Asset Allocation Exploiting Predictors in Reinforcement Learning Framework.
Proceedings of the Machine Learning: ECML 2004, 2004

2003
A Locking-Free Nonconforming Finite Element Method for Planar Linear Elasticity.
Adv. Comput. Math., 2003

2002
A Two-Phase Stock Trading System Using Distributional Differences.
Proceedings of the Database and Expert Systems Applications, 13th International Conference, 2002

2000
Machine Translation Systems: E-K, K-E, J-K, K-J.
Proceedings of the Envisioning Machine Translation in the Information Future, 2000

1999
Compound noun decomposition using a Markov model.
Proceedings of Machine Translation Summit VII, 1999


  Loading...