Tomokazu Yoneda

According to our database1, Tomokazu Yoneda authored at least 56 papers between 2001 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
An integrated DFT solution for power reduction in scan test applications by low power gating scan cell.
Integr., 2017

An Effective and Sensitive Scan Segmentation Technique for Detecting Hardware Trojan.
IEICE Trans. Inf. Syst., 2017

Detecting hardware Trojans without a Golden IC through clock-tree defined circuit partitions.
Proceedings of the 22nd IEEE European Test Symposium, 2017

Intra-Die-Variation-Aware Side Channel Analysis for Hardware Trojan Detection.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
Reliability-Enhanced ECC-Based Memory Architecture Using In-Field Self-Repair.
IEICE Trans. Inf. Syst., 2016

Reliability enhancement of embedded memory with combination of aging-aware adaptive in-field self-repair and ECC.
Proceedings of the 21th IEEE European Test Symposium, 2016

2015
An ECC-based memory architecture with online self-repair capabilities for reliability enhancement.
Proceedings of the 20th IEEE European Test Symposium, 2015

2014
Memory block based scan-BIST architecture for application-dependent FPGA testing.
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Parallel Path Delay Fault Simulation for Multi/Many-Core Processors with SIMD Units.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2012
A Failure Prediction Strategy for Transistor Aging.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Test Pattern Ordering and Selection for High Quality Test Set under Constraints.
IEICE Trans. Inf. Syst., 2012

A fast and accurate per-cell dynamic IR-drop estimation method for at-speed scan test pattern validation.
Proceedings of the 2012 IEEE International Test Conference, 2012

DART: Dependable VLSI test architecture and its implementation.
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
Delay Testing: Improving Test Quality and Avoiding Over-testing.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

Balanced Secure Scan: Partial Scan Approach for Secret Information Protection.
J. Electron. Test., 2011

Faster-than-at-speed test for increased test quality and in-field reliability.
Proceedings of the 2011 IEEE International Test Conference, 2011

Temperature-Variation-Aware Test Pattern Optimization.
Proceedings of the 16th European Test Symposium, 2011

2010
Design and Optimization of Transparency-Based TAM for SoC Test.
IEICE Trans. Inf. Syst., 2010

Thermal-uniformity-aware X-filling to reduce temperature-induced delay variation for accurate at-speed testing.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Aging test strategy and adaptive test scheduling for SoC failure prediction.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Test pattern selection to optimize delay test quality with a limited size of test set.
Proceedings of the 15th European Test Symposium, 2010

Seed Ordering and Selection for High Quality Delay Test.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

RedSOCs-3D: Thermal-safe test scheduling for 3D-stacked SOC.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
Partial Scan Approach for Secret Information Protection.
Proceedings of the 14th IEEE European Test Symposium, 2009

Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Effective Domain Partitioning for Multi-Clock Domain IP Core Wrapper Design under Power Constraints.
IEICE Trans. Inf. Syst., 2008

Thermal-Aware Test Access Mechanism and Wrapper Design Optimization for System-on-Chips.
IEICE Trans. Inf. Syst., 2008

Test Scheduling for Multi-Clock Domain SoCs under Power Constraint.
IEICE Trans. Inf. Syst., 2008

Scheduling Power-Constrained Tests through the SoC Functional Bus.
IEICE Trans. Inf. Syst., 2008

NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints.
IEICE Trans. Inf. Syst., 2008

On NoC Bandwidth Sharing for the Optimization of Area Cost and Test Application Time.
IEICE Trans. Inf. Syst., 2008

Wrapper and TAM Co-Optimization for Reuse of SoC Functional Interconnects.
Proceedings of the Design, Automation and Test in Europe, 2008

Identifying Non-Robust Untestable RTL Paths in Circuits with Multi-cycle Paths.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Using Domain Partitioning in Wrapper Design for IP Cores Under Power Constraints.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

TAM Design and Optimization for Transparency-Based SoC Test.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Power-Aware Multi-Frequency Heterogeneous SoC Test Framework Design with Floor-Ceiling Packing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Optimization of NoC Wrapper Design under Bandwidth and Test Time Constraints.
Proceedings of the 12th European Test Symposium, 2007

Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A DFT Method for Time Expansion Model at Register Transfer Level.
Proceedings of the 44th Design Automation Conference, 2007

Thermal-Safe Test Access Mechanism and Wrapper Co-optimization for System-on-Chip.
Proceedings of the 16th Asian Test Symposium, 2007

Test Scheduling for Memory Cores with Built-In Self-Repair.
Proceedings of the 16th Asian Test Symposium, 2007

Area Overhead and Test Time Co-Optimization through NoC Bandwidth Sharing.
Proceedings of the 16th Asian Test Symposium, 2007

Core-Based Testing of Multiprocessor System-on-Chips Utilizing Hierarchical Functional Buses.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Design for consecutive transparency method of RTL circuits.
Syst. Comput. Jpn., 2006

A Memory Grouping Method for Reducing Memory BIST Logic of System-on-Chips.
IEICE Trans. Inf. Syst., 2006

Power-Constrained SOC Test Schedules through Utilization of Functional Buses.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Power-constrained test scheduling for multi-clock domain SoCs.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A memory grouping method for sharing memory BIST logic.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Power-Constrained Area and Time Co-Optimization for SoCs Based on Consecutive Testability.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

A DFT Method for RTL Data Paths Based on Partially Strong Testability to Guarantee Complete Fault Efficiency.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
An efficient scan tree design for test time reduction.
Proceedings of the 9th European Test Symposium, 2004

2003
Design for Consecutive Transparency of Cores in System-on-a-Chip.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

Area and Time Co-Optimization for System-on-a-Chip based on Consecutive Testability.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

2002
Design for Consecutive Testability of System-on-a-Chip with Built-In Self Testable Cores.
J. Electron. Test., 2002

2001
A DFT Method for Core-Based Systems-on-a-Chip Based on Consecutive Testability.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001


  Loading...