Seiji Kajihara

According to our database1, Seiji Kajihara authored at least 153 papers between 1991 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
A 1-ps Bin Size 4.87-ps Resolution FPGA Time-to-Digital Converter Based on Phase Wrapping Sorting and Selection.
IEEE Access, 2022

A Practical Online Error Detection Method for Functional Safety Using Three-Site Implications.
Proceedings of the IEEE International Test Conference, 2022

Effective Switching Probability Calculation to Locate Hotspots in Logic Circuits.
Proceedings of the IEEE International Test Conference in Asia, 2022

On Correction of A Delay Value Using Ring-Oscillators for Aging Detection and Prediction.
Proceedings of the IEEE 31st Asian Test Symposium, 2022

2021
On the Efficacy of Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption.
IEICE Trans. Inf. Syst., 2021

2020
High-Precision PLL Delay Matrix With Overclocking and Double Data Rate for Accurate FPGA Time-to-Digital Converters.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Flexible Scan-in Power Control Method in Logic BIST and Its Evaluation with TEG Chips.
IEEE Trans. Emerg. Top. Comput., 2020


Path Delay Measurement with Correction for Temperature And Voltage Variations.
Proceedings of the IEEE International Test Conference in Asia, 2020

On-Chip Delay Measurement for Degradation Detection And Its Evaluation under Accelerated Life Test.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

On Evaluation for Aging-Tolerant Ring Oscillators with Accelerated Life Test And Its Application to A Digital Sensor.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
On-Chip Delay Measurement for In-Field Test of FPGAs.
Proceedings of the 24th IEEE Pacific Rim International Symposium on Dependable Computing, 2019

A Static Method for Analyzing Hotspot Distribution on the LSI.
Proceedings of the IEEE International Test Conference in Asia, 2019

A Selection Method of Ring Oscillators for An On-Chip Digital Temperature And Voltage Sensor.
Proceedings of the IEEE International Test Conference in Asia, 2019

On-Chip Test Clock Validation Using A Time-to-Digital Converter in FPGAs.
Proceedings of the IEEE International Test Conference in Asia, 2019

FPGA implementation of ECDSA for Blockchain.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2019

2018
Good Die Prediction Modelling from Limited Test Items.
Proceedings of the IEEE International Test Conference in Asia, 2018

Clock-Skew-Aware Scan Chain Grouping for Mitigating Shift Timing Failures in Low-Power Scan Testing.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

On Flip-Flop Selection for Multi-cycle Scan Test with Partial Observation in Logic BIST.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

2017
Analysis and mitigation or IR-Drop induced scan shift-errors.
Proceedings of the IEEE International Test Conference, 2017

On the effects of real time and contiguous measurement with a digital temperature and voltage sensor.
Proceedings of the International Test Conference in Asia, 2017

Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
Temperature and Voltage Measurement for Field Test Using an Aging-Tolerant Monitor.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Logic-Path-and-Clock-Path-Aware At-Speed Scan Test Generation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

A Flexible Power Control Method for Right Power Testing of Scan-Based Logic BIST.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths During At-Speed Scan Test.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Physical Power Evaluation of Low Power Logic-BIST Scheme Using Test Element Group Chip.
J. Low Power Electron., 2015

Identification of high power consuming areas with gate type and logic level information.
Proceedings of the 20th IEEE European Test Symposium, 2015

Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST.
IEICE Trans. Inf. Syst., 2014

Reduction of NBTI-Induced Degradation on Ring Oscillators in FPGA.
Proceedings of the 20th IEEE Pacific Rim International Symposium on Dependable Computing, 2014

Temperature and Voltage Estimation Using Ring-Oscillator-Based Monitor for Field Test.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Built-In Scrambling Analysis for Yield Enhancement of Embedded Memories.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

An On-Chip Digital Environment Monitor for Field Test.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Scan-Out Power Reduction for Logic BIST.
IEICE Trans. Inf. Syst., 2013

A Capture-Safety Checking Metric Based on Transition-Time-Relation for At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2013

LCTI-SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing.
IEEE Des. Test, 2013

On Guaranteeing Capture Safety in At-Speed Scan Testing with Broadcast-Scan-Based Test Compression.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST.
Proceedings of the 22nd Asian Test Symposium, 2013

A Stochastic Model for NBTI-Induced LSI Degradation in Field.
Proceedings of the 22nd Asian Test Symposium, 2013

Search Space Reduction for Low-Power Test Generation.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
A Failure Prediction Strategy for Transistor Aging.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A novel capture-safety checking method for multi-clock designs and accuracy evaluation with delay capture circuits.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

On pinpoint capture power management in at-speed scan test generation.
Proceedings of the 2012 IEEE International Test Conference, 2012

DART: Dependable VLSI test architecture and its implementation.
Proceedings of the 2012 IEEE International Test Conference, 2012

On-chip temperature and voltage measurement for field testing.
Proceedings of the 17th IEEE European Test Symposium, 2012

A Scan-Out Power Reduction Method for Multi-cycle BIST.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

Low Power BIST for Scan-Shift and Capture Power.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Delay Testing: Improving Test Quality and Avoiding Over-testing.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

A GA-Based <i>X</i>-Filling for Reducing Launch Switching Activity toward Specific Objectives in At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2011

Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2011

Power-aware test generation with guaranteed launch safety for at-speed scan testing.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

A novel scan segmentation design method for avoiding shift timing failure in scan testing.
Proceedings of the 2011 IEEE International Test Conference, 2011

SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Genetic algorithm based approach for segmented testing.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W 2011), 2011

Transition-Time-Relation based capture-safety checking for at-speed scan test generation.
Proceedings of the Design, Automation and Test in Europe, 2011

Multi-cycle Test with Partial Observation on Scan-Based BIST Structure.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Effective Launch-to-Capture Power Reduction for LOS Scheme with Adjacent-Probability-Based X-Filling.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
On Delay Test Quality for Test Cubes.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

A Study of Capture-Safe Test Generation Flow for At-Speed Testing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme.
IEICE Trans. Inf. Syst., 2010

Aging test strategy and adaptive test scheduling for SoC failure prediction.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

On estimation of NBTI-Induced delay degradation.
Proceedings of the 15th European Test Symposium, 2010

2009
A GA-Based Method for High-Quality X-Filling to Reduce Launch Switching Activity in At-speed Scan Testing.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

CAT: A Critical-Area-Targeted Test Set Modification Scheme for Reducing Launch Switching Activity in At-Speed Scan Testing.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Estimation of Delay Test Quality and Its Application to Test Generation.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

A Novel Per-Test Fault Diagnosis Method Based on the Extended <i>X</i>-Fault Model for Deep-Submicron LSI Circuits.
IEICE Trans. Inf. Syst., 2008

On Detection of Bridge Defects with Stuck-at Tests.
IEICE Trans. Inf. Syst., 2008

Special Section on Test and Verification of VLSIs.
IEICE Trans. Inf. Syst., 2008

Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing.
J. Electron. Test., 2008

Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A Capture-Safe Test Generation Scheme for At-Speed Scan Testing.
Proceedings of the 13th European Test Symposium, 2008

Diagnosis of Realistic Defects Based on the X-Fault Model.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
A Novel ATPG Method for Capture Power Reduction during Scan Testing.
IEICE Trans. Inf. Syst., 2007

A novel scheme to reduce power supply noise for high-quality at-speed scan testing.
Proceedings of the 2007 IEEE International Test Conference, 2007

Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing.
Proceedings of the 44th Design Automation Conference, 2007

2006
A New Method for Low-Capture-Power Test Generation for Scan Testing.
IEICE Trans. Inf. Syst., 2006

A Per-Test Fault Diagnosis Method Based on the <i>X</i>-Fault Model.
IEICE Trans. Inf. Syst., 2006

A Statistical Quality Model for Delay Testing.
IEICE Trans. Electron., 2006

On Finding Don't Cares in Test Sequences for Sequential Circuits.
IEICE Trans. Inf. Syst., 2006

A New ATPG Method for Efficient Capture Power Reduction During Scan Testing.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

A Framework of High-quality Transition Fault ATPG for Scan Circuits.
Proceedings of the 2006 IEEE International Test Conference, 2006

Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

A dynamic test compaction procedure for high-quality path delay testing.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Test cost reduction for logic circuits: Reduction of test data volume and test application time.
Syst. Comput. Jpn., 2005

Efficient Test Set Modification for Capture Power Reduction.
J. Low Power Electron., 2005

On Design for I<sub>DDQ</sub>-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies.
IEICE Trans. Inf. Syst., 2005

On Statistical Estimation of Fault Efficiency for Path Delay Faults Based on Untestable Path Analysis.
IEICE Trans. Inf. Syst., 2005

On Low-Capture-Power Test Generation for Scan Testing.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Efficient Space/Time Compression to Reduce Test Data Volume and Testing Time for IP Cores.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Low-capture-power test generation for scan-based at-speed testing.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Invisible delay quality - SDQM model lights up what could not be seen.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Path delay test compaction with process variation tolerance.
Proceedings of the 42nd Design Automation Conference, 2005

On Improving Defect Coverage of Stuck-at Fault Tests.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Evaluation of the statistical delay quality model.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
XID: Don't care identification of test patterns for combinational circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Don't Care Identification and Statistical Encoding for Test Data Compression.
IEICE Trans. Inf. Syst., 2004

Random Access Scan: A solution to test power, test data volume and test time.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

On per-test fault diagnosis using the X-fault model.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Enhanced 3-valued logic/fault simulation for full scan circuits using implicit logic values.
Proceedings of the 9th European Test Symposium, 2004

Multiple Scan Tree Design with Test Vector Modification.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Techniques for Finding Xs in Test Sequences for Sequential Circuits and Applications to Test Length/Power Reduction.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
On test data volume reduction for multiple scan chain designs.
ACM Trans. Design Autom. Electr. Syst., 2003

BIST-oriented test pattern generator for detection of transition faults.
Syst. Comput. Jpn., 2003

Evaluation of Delay Testing Based on Path Selection.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

On Selecting Testable Paths in Scan Designs.
J. Electron. Test., 2003

On Combining Pinpoint Test Set Relaxation and Run-Length Codes for Reducing Test Data Volume.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

A Method to Find Don't Care Values in Test Sequences for Sequential Circuits.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Optimal Scan Tree Construction with Test Vector Modification for Test Compression.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

On Estimation of Fault Efficiency for Path Delay Faults.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

On effective criterion of path selection for delay testing.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Test Vector Modification for Power Reduction during Scan Testing.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

On Testing of Interconnect Open Defects in Combinational Logic Circuits with Stems of Large Fanout.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Don't-Care Identification on Specific Bits of Test Patterns.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

A Method of Static Test Compaction Based on Don't Care Identification.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

Test Data Compression Using Don't-Care Identification and Statistical Encoding.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

Test Data Compression Using Don?t-Care Identification and Statistical Encoding.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
On Identifying Don't Care Inputs of Test Patterns for Combinational Circuits.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

An Efficient Method to Identify Untestable Path Delay Faults.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

Hybrid BIST Using Partially Rotational Scan.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
On validating data hold times for flip-flops in sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

Selection of potentially testable path delay faults for test generation.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

Enhanced untestable path analysis using edge graphs.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1999
On Test Generation with A Limited Number of Tests.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

On Compact Test Sets for Multiple Stuck-at Faults for Large Circuits.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

On an Effective Selection of IDDQ Measurement Vectors for Sequential Circuits.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

1998
On Test Pattern Compaction Using Random Pattern Fault Simulation.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

An Efficient Procedure for Obtaining Implication Relations and Its Application to Redundancy Identification.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
Compact test sets for high defect coverage.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A diagnosis method for single logic design errors in gate-level combinational circuits.
Syst. Comput. Jpn., 1997

On invariant implication relations for removing partial circuits.
Syst. Comput. Jpn., 1997

Synthesis of Sequential Circuits by Redundancy Removal and Retiming.
J. Electron. Test., 1997

A Method for Identifying Robust Dependent and Functionally Unsensitizable Paths.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

On the Adders with Minimum Tests.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

1996
On the effects of test compaction on defect coverage.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Retiming for Sequential Circuits with a Specified Initial State and Its Application to Testability Enhancement.
IEICE Trans. Inf. Syst., 1995

Acceleration Techniques of Multiple Fault Test Generation Using Vector Pair Analysis.
IEICE Trans. Inf. Syst., 1995

Partial scan design and test sequence generation based on reduced scan shift method.
J. Electron. Test., 1995

Resynthesis for sequential circuits designed with a specified initial state.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Compact test generation for bridging faults under I<sub>DDQ</sub> testing.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Synthesis for Testability by Sequential Redundancy Removal Using Retiming.
Proceedings of the Digest of Papers: FTCS-25, 1995

Test sequence compaction by reduced scan shift and retiming.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995

1994
On compacting test sets by addition and removal of test vectors.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Reduced Scan Shift: A New Testing Method for Sequential Circuit.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

1993
Removal of redundancy in combinational circuits under classification of undetectable faults.
Syst. Comput. Jpn., 1993

Test generation for multiple faults based on parallel vector pair analysis.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1992
Removal of Redundancy in Logic Circuits under Classification of Undetectable Faults.
Proceedings of the Digest of Papers: FTCS-22, 1992

1991
Stuck-open faults test generation for cmos combinational circuits.
Syst. Comput. Jpn., 1991


  Loading...