Kazumi Hatayama

According to our database1, Kazumi Hatayama authored at least 54 papers between 1989 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Low distortion sine wave generator with simple harmonics cancellation circuit and filter for analog device testing.
IEICE Electron. Express, 2023

Low Distortion Sinusoidal Signal Generator with Harmonics Cancellation Using Two Types of Digital Predistortion.
Proceedings of the IEEE International Test Conference, 2023

A Physically Unclonable Function Using Time-to-Digital Converter with Linearity Self-Calibration and its FPGA Implementation.
Proceedings of the IEEE International Test Conference in Asia, 2023

2022
Revisit to Histogram Method for ADC Linearity Test: Examination of Input Signal and Ratio of Input and Sampling Frequencies.
J. Electron. Test., 2022


High Precision Voltage Measurement System Utilizing Low-End ATE Resource and BOST.
Proceedings of the IEEE 31st Asian Test Symposium, 2022

2021
Revisit to Accurate ADC Testing with Incoherent Sampling Using Proper Sinusoidal Signal and Sampling Frequencies.
Proceedings of the IEEE International Test Conference, 2021

Summing Node and False Summing Node Methods: Accurate Operational Amplifier AC Characteristics Testing without Audio Analyzer.
Proceedings of the IEEE International Test Conference, 2021

Metallic Ratio Equivalent-Time Sampling: A Highly Efficient Waveform Acquisition Method.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Evaluation of High-Precision Nano-Ampere Current Measurement Method for Mass Production.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

High Precision Measurement of Sub-Nano Ampere Current in ATE Environment.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020

Accurate Testing of Precision Voltage Reference by DC-AC Conversion.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

Summing Node Test Method: Simultaneous Multiple AC Characteristics Testing of Multiple Operational Amplifiers.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019

Accurate and Fast Testing Technique of Operational Amplifier DC Offset Voltage in µV-Order by DC-AC Conversion.
Proceedings of the IEEE International Test Conference in Asia, 2019

High-Resolution Low-Sampling-Rate Δ∑ ADC Linearity Short-Time Testing Algorithm.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Evaluation of Null Method for Operational Amplifier Short-Time Testing.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Innovative practices on test in Japan.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

2017
Innovative practices session 10B innovative practices in Asia-2: From cost perspective.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Innovative practices session 9B innovative practices in Asia-1: From quality perspective.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

2016
Path Clustering for Test Pattern Reduction of Variation-Aware Adaptive Path Delay Testing.
J. Electron. Test., 2016

2014
A Variability-Aware Adaptive Test Flow for Test Quality Improvement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Memory block based scan-BIST architecture for application-dependent FPGA testing.
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Parallel Path Delay Fault Simulation for Multi/Many-Core Processors with SIMD Units.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Special session 4B: Elevator talks.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2012
A fast and accurate per-cell dynamic IR-drop estimation method for at-speed scan test pattern validation.
Proceedings of the 2012 IEEE International Test Conference, 2012

DART: Dependable VLSI test architecture and its implementation.
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2011

2010
A Study of Capture-Safe Test Generation Flow for At-Speed Testing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Foreword.
IEICE Trans. Inf. Syst., 2010

Path clustering for adaptive test.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Scan based process parameter estimation through path-delay inequalities.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
Small Delay Fault Model for Intra-Gate Resistive Open Defects.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

An Adaptive Test for Parametric Faults Based on Statistical Timing Information.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Estimation of Delay Test Quality and Its Application to Test Generation.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A Capture-Safe Test Generation Scheme for At-Speed Scan Testing.
Proceedings of the 13th European Test Symposium, 2008

2006
Session Abstract.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

2004
Opportunities with the open architecture test system.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
DFT Timing Design Methodology for Logic BIST.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

DFT timing design methodology for at-speed BIST.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Application of High-Quality Built-In Test to Industrial Designs.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

At-Speed Built-in Test for Logic Circuits with Multiple Clocks.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Test Generation for Multiple-Threshold Gate-Delay Fault Model.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

1999
Low overhead test point insertion for scan-based BIST.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1997
A practical approach to instruction-based test generation for functional modules of VLSI processors.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

Accelerated Test Points Selection Method for Scan-Based BIST.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

Application of a Design for Delay Testability Approach to High Speed Logic LSIs.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

1995
A parallel sequential test generation system DESCARTES based on real-valued logic simulation.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995

1993
Sequential circuit test generation by real number simulation.
Syst. Comput. Jpn., 1993

1992
Sequential Test Generation Based on Real-Value Logic.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

1989
Enhanced Delay Test Generator for High-Speed Logic LSIs.
Proceedings of the Proceedings International Test Conference 1989, 1989


  Loading...