Guanghui He

Orcid: 0000-0002-0486-6421

According to our database1, Guanghui He authored at least 111 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
INDM: Chiplet-Based Interconnect Network and Dataflow Mapping for DNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

Deep Learning-Based Modeling of 5G Core Control Plane for 5G Network Digital Twin.
IEEE Trans. Cogn. Commun. Netw., February, 2024

TICA: Timing Slack Inference and Clock Frequency Adaption Technique for a Deeply Pipelined Near-Threshold-Voltage Bitcoin Mining Core.
IEEE J. Solid State Circuits, February, 2024

A Precision-Scalable Deep Neural Network Accelerator With Activation Sparsity Exploitation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

Verifiable Privacy-Preserving Heart Rate Estimation Based on LSTM.
IEEE Internet Things J., January, 2024

DEFA: Efficient Deformable Attention Acceleration via Pruning-Assisted Grid-Sampling and Multi-Scale Parallel Processing.
CoRR, 2024

2023
Lightweight image super-resolution based multi-order gated aggregation network.
Neural Networks, September, 2023

Toward 6G $\text{TK}\mu$ Extreme Connectivity: Architecture, Key Technologies and Experiments.
IEEE Wirel. Commun., June, 2023

Lightweight image super-resolution based on deep learning: State-of-the-art and future directions.
Inf. Fusion, June, 2023

Low-Complexity Precision-Scalable Multiply-Accumulate Unit Architectures for Deep Neural Network Accelerators.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2023

GEM: A Generalized Memristor Device Modeling Framework Based on Neural Network for Transient Circuit Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

CCSA: A 394TOPS/W Mixed-Signal GPS Accelerator with Charge-Based Correlation Computing for Signal Acquisition.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

O<sup>3</sup>NMS: An Out-Of-Order-Based Low-Latency Accelerator for Non-Maximum Suppression.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

SpOctA: A 3D Sparse Convolution Accelerator with Octree-Encoding-Based Map Search and Inherent Sparsity-Aware Processing.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

COSA:Co-Operative Systolic Arrays for Multi-head Attention Mechanism in Neural Network using Hybrid Data Reuse and Fusion Methodologies.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

FLNA: An Energy-Efficient Point Cloud Feature Learning Accelerator with Dataflow Decoupling.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023


NTIRE 2023 Challenge on Stereo Image Super-Resolution: Methods and Results.
, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

NTIRE 2023 Challenge on Efficient Super-Resolution: Methods and Results.
, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Mixer-based Local Residual Network for Lightweight Image Super-resolution.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

A Simple Transformer-style Network for Lightweight Image Super-resolution.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

MUG5: Modeling of Universal Chiplet Interconnect Express (UCIe) Standard Based on gem5.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
Efficient Compression Methods for Wire-Spread-Based Stochastic Computing Deep Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

XBarNet: Computationally Efficient Memristor Crossbar Model Using Convolutional Autoencoder.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Balanced Spatial Feature Distillation and Pyramid Attention Network for Lightweight Image Super-resolution.
Neurocomputing, 2022

Toward 6G TKμ Extreme Connectivity: Architecture, Key Technologies and Experiments.
CoRR, 2022

Quantitative CT comparison between COVID-19 and mycoplasma pneumonia suspected as COVID-19: a longitudinal study.
BMC Medical Imaging, 2022

An Efficient Stochastic Convolution Accelerator based on Pseudo-Sobol Sequences.
Proceedings of the 17th ACM International Symposium on Nanoscale Architectures, 2022


Real-Time Channel Mixing Net for Mobile Image Super-Resolution.
Proceedings of the Computer Vision - ECCV 2022 Workshops, 2022

TICA: A 0.3V, Variation-Resilient 64-Stage Deeply-Pipelined Bitcoin Mining Core with Timing Slack Inference and Clock Frequency Adaption.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A 3.85-Gb/s 8 × 8 Soft-Output MIMO Detector With Lattice-Reduction-Aided Channel Preprocessing.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Efficient and Robust RRAM-Based Convolutional Weight Mapping With Shifted and Duplicated Kernel.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

TEANS: A Target Enhancement and Attenuated Nonmaximum Suppression Object Detector for Remote Sensing Images.
IEEE Geosci. Remote. Sens. Lett., 2021

A Low-Latency FPGA Implementation for Real-Time Object Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Fast FPGA-Based Emulation for ReRAM-Enabled Deep Neural Network Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Subgraph Decoupling and Rescheduling for Increased Utilization in CGRA Architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Reducing Memory Access Conflicts with Loop Transformation and Data Reuse on Coarse-grained Reconfigurable Architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

CCASM: A Computation- and Communication-Aware Scheduling and Mapping Algorithm for NoC-Based DNN Accelerators.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Algorithm and Architecture of an Efficient MIMO Detector With Cross-Level Parallel Tree-Search.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Hierarchical Scrubbing Technique for SEU Mitigation on SRAM-Based FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Hardware Implementation of an Improved Stochastic Computing Based Deep Neural Network Using Short Sequence Length.
IEEE Trans. Circuits Syst., 2020

An Efficient Massive MIMO Detector Based on Second-Order Richardson Iteration: From Algorithm to Flexible Architecture.
IEEE Trans. Circuits Syst., 2020

Model Order Reduction Based on Dynamic Relative Gain Array for MIMO Systems.
IEEE Trans. Circuits Syst., 2020

Offline/Online Outsourced Attribute-Based Encryption with Partial Policy Hidden for the Internet of Things.
J. Sensors, 2020

Outsourced ciphertext-policy attribute-based encryption with partial policy hidden.
Int. J. Distributed Sens. Networks, 2020

A Deeply Fused Detection Algorithm Based on Steepest Descent and Non-Stationary Richardson Iteration for Massive MIMO Systems.
IEEE Commun. Lett., 2020

Decoupling the Multi-Rate Dataflow Execution in Coarse-Grained Reconfigurable Array.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Evaluation of the "Internet +" Environmental Public Welfare Project Based on Value Co-creation.
Proceedings of the IEEE International Conference on Industrial Engineering and Engineering Management, 2020

Customer Stickiness to "Internet +" Environmental Protection Public Welfare Platforms.
Proceedings of the IEEE International Conference on Industrial Engineering and Engineering Management, 2020

Enabling Resistive-RAM-based Activation Functions for Deep Neural Network Acceleration.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

2019
A Novel Resistive Memory-based Process-in-memory Architecture for Efficient Logic and Add Operations.
ACM Trans. Design Autom. Electr. Syst., 2019

Scale Adaptive Proposal Network for Object Detection in Remote Sensing Images.
IEEE Geosci. Remote. Sens. Lett., 2019

A Rapid Scrubbing Technique for SEU Mitigation on SRAM-Based FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

AR-C3D: Action Recognition Accelerator for Human-Computer Interaction on FPGA.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

On-chip Learning of Multilayer Perceptron Based on Memristors with Limited Multilevel States.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
A Self-Powered 3.26-µW 70-m Wireless Temperature Sensor Node for Power Grid Monitoring.
IEEE Trans. Ind. Electron., 2018

A Low-Complexity Signal Detection Approach in Uplink Massive MIMO Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

2017
A 12-bit 4928 × 3264 pixel CMOS image signal processor for digital still cameras.
Integr., 2017

A hardware-friendly hierarchical HEVC motion estimation algorithm for UHD applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Short path padding with multiple-Vt cells for wide-pulsed-latch based circuits at ultra-low voltage.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
An Area Time-Efficient Structure to Find the Approximate First Two Minima for Min-Sum-Based LDPC Decoders.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

基于非负张量分解的人脸识别算法研究 (Research of Face Recognition Algorithm Based on Nonnegative Tensor Factorization).
计算机科学, 2016

优化的小波变换和改进的LDA相融合的人脸识别算法 (Face Recognition Algorithm Based on Fusion of Optimized Wavelet Transform and Improved LDA).
计算机科学, 2016

High performance parallel turbo decoder with configurable interleaving network for LTE application.
Integr., 2016

Keypoints-Based Image Passive Forensics Method for Copy-Move Attacks.
Int. J. Pattern Recognit. Artif. Intell., 2016

Area-efficient HEVC IDCT/IDST architecture for 8K × 4K video decoding.
IEICE Electron. Express, 2016

A Near-Optimal Detection Scheme Based on Joint Steepest Descent and Jacobi Method for Uplink Massive MIMO Systems.
IEEE Commun. Lett., 2016

An accurate eye pupil localization approach based on adaptive gradient boosting decision tree.
Proceedings of the 2016 Visual Communications and Image Processing, 2016

2015
Design and Implementation of Flexible Dual-Mode Soft-Output MIMO Detector With Channel Preprocessing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

基于有理双树复小波和SVM的滚动轴承故诊断方法 (Fault Diagnosis Method of Rolling Bearing Based on Dual-tree Rational-dilation Complex Wavelet Packet Transform and SVM).
计算机科学, 2015

Improved Iterative Receiver for Co-channel Interference Suppression in MIMO-OFDM Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

FPGA Design and Implementation for Real-Time Electromagnetic Transient Simulation System.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

An Improved Hybrid Time Synchronization Approach in Wireless Sensor Networks for Smart Grid Application.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

2014
Improved Max-Log-MAP BICM-IDD receiver for MIMO systems.
IEICE Electron. Express, 2014

Area and throughput efficient IDCT/IDST architecture for HEVC standard.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
VLSI Implementation of a High-Throughput Iterative Fixed-Complexity Sphere Decoder.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A memory efficient parallel layered QC-LDPC decoder for CMMB systems.
Integr., 2013

A soft-output parallel stack algorithm for MIMO detection.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

2012
Correlation-Based Multidimensional Scaling for Unsupervised Subspace Learning.
Int. J. Wavelets Multiresolution Inf. Process., 2012

Distance-Ratio Learning for Data Visualization.
Int. J. Wavelets Multiresolution Inf. Process., 2012

High-throughput sorted MMSE QR decomposition for MIMO detection.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

VLSI implementation of an 855 Mbps high performance soft-output K-Best MIMO detector.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Bionic Face Recognition Using Gabor Transformation.
Int. J. Pattern Recognit. Artif. Intell., 2011

Generalized interleaving network based on configurable QPP architecture for parallel turbo decoder.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

Effective multi-standard macroblock prediction VLSI design for reconfigurable multimedia systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Memory efficient layered decoder design with early termination for LDPC codes.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

2010
A Least-Squares Model to Orthogonal Linear Discriminant Analysis.
Int. J. Pattern Recognit. Artif. Intell., 2010

2009
Improving the discriminant ability of local margin based learning method by incorporating the global between-class separability criterion.
Neurocomputing, 2009

Weightiness image Partition in 3D Face Recognition.
Proceedings of the IEEE International Conference on Systems, 2009

2008
Topology Preserving Non-negative Matrix Factorization for Face Recognition.
IEEE Trans. Image Process., 2008

Total variation norm-based nonnegative matrix factorization for identifying discriminant representation of image patterns.
Neurocomputing, 2008

2007
Characterizing individual user behaviors in wlans.
Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2007

Modified ACS Algorithm-Based Nonlinear PID Controller and Its Application to CIP-I Intelligent Leg.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 2007

One Size Does Not Fit All: A Detailed Analysis and Modeling of P2P Traffic.
Proceedings of the Global Communications Conference, 2007

Face Recognition Using Topology Preserving Nonnegative Matrix Factorization.
Proceedings of the Computational Intelligence and Security, International Conference, 2007

2006
A single receiving chip for DVB data broadcasting system.
IEEE Trans. Consumer Electron., 2006

Clustering Algorithm Research Based on Self-organizing Feature Maps Networks.
Int. J. Pattern Recognit. Artif. Intell., 2006

BGP session lifetime modeling in congested networks.
Comput. Networks, 2006

On sampling self-similar Internet traffic.
Comput. Networks, 2006

Flow Scheduling for End-Host Multihoming.
Proceedings of the INFOCOM 2006. 25th IEEE International Conference on Computer Communications, 2006

2005
A framework for time indexing in sensor networks.
ACM Trans. Sens. Networks, 2005

Tracking Targets with Quality inWireless Sensor Networks.
Proceedings of the 13th IEEE International Conference on Network Protocols (ICNP 2005), 2005

An In-Depth, Analytical Study of Sampling Techniques for Self-Similar Internet Traffic.
Proceedings of the 25th International Conference on Distributed Computing Systems (ICDCS 2005), 2005

The design and implementation of a DVB receiving chip with PCI interface.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
A case for exploiting self-similarity of network traffic in TCP congestion control.
Comput. Networks, 2004

Time indexing in sensor networks.
Proceedings of the 2004 IEEE International Conference on Mobile Ad-hoc and Sensor Systems, 2004

2003
On Exploiting Long Range Dependency of Network Traffic in Measuring Cross Traffic on an End-to-end Basis.
Proceedings of the Proceedings IEEE INFOCOM 2003, The 22nd Annual Joint Conference of the IEEE Computer and Communications Societies, San Franciso, CA, USA, March 30, 2003

Application-driven Internet traffic analysis - a simulation approach.
Proceedings of the Global Telecommunications Conference, 2003

2002
On Exploiting Traffic Predictability in Active Queue Management.
Proceedings of the Proceedings IEEE INFOCOM 2002, 2002

A Case for Exploiting Self-Similarity of Network Traffic in TCP.
Proceedings of the 10th IEEE International Conference on Network Protocols (ICNP 2002), 2002


  Loading...