Dinesh Somasekhar

According to our database1, Dinesh Somasekhar authored at least 38 papers between 1993 and 2016.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
System-Level Power Analysis of a Multicore Multipower Domain Processor With ON-Chip Voltage Regulators.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Guest Editorial Emerging Memories - Technology, Architecture and Applications (Second Issue).
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

Guest Editorial Emerging Memories - Technology, Architecture and Applications (First Issue).
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

Overview of Circuits, Systems, and Applications of Spintronics.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

2014
A 32 nm Embedded, Fully-Digital, Phase-Locked Low Dropout Regulator for Fine Grained Power Management in Digital Circuits.
IEEE J. Solid State Circuits, 2014

Advanced memory topics.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2012
Direct Compare of Information Coded With Error-Correcting Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A fully-digital phase-locked low dropout regulator in 32nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2012

2011
Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration.
IET Comput. Digit. Tech., 2011

3DICs for tera-scale computing: a case study.
Proceedings of the 2011 International Symposium on Physical Design, 2011

2010
Multi-Phase 1 GHz Voltage Doubler Charge Pump in 32 nm Logic Process.
IEEE J. Solid State Circuits, 2010

Reducing cache power with low-cost, multi-bit error-correcting codes.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

Resilient design in scaled CMOS for energy efficiency.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
2 GHz 2 Mb 2T Gain Cell Memory Macro With 128 GBytes/sec Bandwidth in a 65 nm Logic Process Technology.
IEEE J. Solid State Circuits, 2009

Process, Temperature, and Supply-Noise Tolerant 45nm Dense Cache Arrays With Diffusion-Notch-Free (DNF) 6T SRAM Cells and Dynamic Multi-Vcc Circuits.
IEEE J. Solid State Circuits, 2009

2008
2GHz 2Mb 2T Gain-Cell Memory Macro with 128GB/s Bandwidth in a 65nm Logic Process.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
A 256-Kb Dual-V<sub>CC</sub> SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor.
IEEE J. Solid State Circuits, 2007

Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Fine-Grained Redundancy in Adders.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

2006
A 4.2GHz 0.3mm2 256kb Dual-V<sub>cc</sub> SRAM Building Block in 65nm CMOS.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

Evaluation of differential vs. single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A 10Mbit, 15GBytes/sec bandwidth 1T DRAM chip with planar MOS storage capacitor in an unmodified 150nm logic process for high-density on-chip memory applications.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

2003
A 6-GHz 16-kB L1 cache in a 100-nm dual-V<sub>T</sub> technology using a bitline leakage reduction (BLR) technique.
IEEE J. Solid State Circuits, 2003

Bitline leakage equalization for sub-100nm caches.
Proceedings of the ESSCIRC 2003, 2003

2002
Skewed CMOS: noise-tolerant high-performance low-power static circuit family.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Leakage control with efficient use of transistor stacks in single threshold CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2002

5-GHz 32-bit integer execution core in 130-nm dual-V<sub>T</sub> CMOS.
IEEE J. Solid State Circuits, 2002

2000
Skewed CMOS: Noise-Immune High-Performance Low-Power Static Circuit Family.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

Dynamic noise analysis in precharge-evaluate circuits.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Models and algorithms for bounds on leakage in CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Switching Characteristics of Generalized Array Multiplier Architectures and their Applications to Low Power Design.
Proceedings of the IEEE International Conference On Computer Design, 1999

Leakage Control with Efficient Use of Transistor Stacks in Single Threshold CMOS.
Proceedings of the 36th Conference on Design Automation, 1999

1998
LVDCSL: a high fan-in, high-performance, low-voltage differential current switch logic family.
IEEE Trans. Very Large Scale Integr. Syst., 1998

IDD Waveforms Analysis for Testing of Domino and Low Voltage Static CMOS Circuits.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

1997
LVDCSL: low voltage differential current switch logic, a robust low power DCSL family.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

1996
Differential current switch logic: a low power DCVS logic family.
IEEE J. Solid State Circuits, 1996

1993
A 230-MHz half-bit level pipelined multiplier using true single-phase clocking.
IEEE Trans. Very Large Scale Integr. Syst., 1993

A 230MHz Half Bit Level Pipelined Multiplier Using True Single Phase Clocking.
Proceedings of the Sixth International Conference on VLSI Design, 1993


  Loading...