Ümit Y. Ogras

Orcid: 0000-0002-5045-5535

According to our database1, Ümit Y. Ogras authored at least 173 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
PED: Probabilistic Energy-efficient Deadline-aware scheduler for heterogeneous SoCs.
J. Syst. Archit., February, 2024

FALCON: An FPGA Emulation Platform for Domain-Specific SoCs (DSSoCs).
IEEE Des. Test, February, 2024

ISLPED 2023: International Symposium on Low-Power Electronics and Design.
IEEE Des. Test, February, 2024

Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning Workloads.
CoRR, 2024

2023
Similarity-Based Fast Analysis of Data Center Networks.
IEEE Des. Test, December, 2023

Fast Analysis Using Finite Queuing Model for Multilayer NoCs.
IEEE Des. Test, December, 2023

A Self-Sustained CPS Design for Reliable Wildfire Monitoring.
ACM Trans. Embed. Comput. Syst., October, 2023

Florets for Chiplets: Data Flow-aware High-Performance and Energy-efficient Network-on-Interposer for CNN Inference Tasks.
ACM Trans. Embed. Comput. Syst., October, 2023

DTRL: Decision Tree-based Multi-Objective Reinforcement Learning for Runtime Task Scheduling in Domain-Specific System-on-Chips.
ACM Trans. Embed. Comput. Syst., October, 2023

Fast Performance Analysis for NoCs With Weighted Round-Robin Arbitration and Finite Buffers.
IEEE Trans. Very Large Scale Integr. Syst., May, 2023

Introduction to the Special Issue on Domain-Specific System-on-Chip Architectures and Run-Time Management Techniques.
ACM Trans. Embed. Comput. Syst., March, 2023

Domain-Specific Architectures: Research Problems and Promising Approaches.
ACM Trans. Embed. Comput. Syst., March, 2023

Transfer Learning for Human Activity Recognition Using Representational Analysis of Neural Networks.
ACM Trans. Comput. Heal., January, 2023

WiSE: When Learning Assists Resolving STT-MRAM Efficiency Challenges.
IEEE Trans. Emerg. Top. Comput., 2023

CANNON: Communication-Aware Sparse Neural Network Optimization.
IEEE Trans. Emerg. Top. Comput., 2023

A Heterogeneous Chiplet Architecture for Accelerating End-to-End Transformer Models.
CoRR, 2023

Machine Learning-based Low Overhead Congestion Control Algorithm for Industrial NoCs.
CoRR, 2023

MQL: ML-Assisted Queuing Latency Analysis for Data Center Networks.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023

PD-MORL: Preference-Driven Multi-Objective Reinforcement Learning Algorithm.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Towards Smart Cattle Farms: Automated Inspection of Cattle Health with Real-Life Data.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Achieving Datacenter-scale Performance through Chiplet-based Manycore Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

A Lightweight Congestion Control Technique for NoCs with Deflection Routing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

GEM-RL: Generalized Energy Management of Wearable Devices using Reinforcement Learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Energy-Efficient On-Chip Training for Customized Home-based Rehabilitation Systems.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

PanoHead: Geometry-Aware 3D Full-Head Synthesis in 360°.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Advancing Cattle Welfare: Ultra Low-power Health Monitoring at the Edge.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

Benchmarking Heterogeneous Integration with 2.5D/3D Interconnect Modeling.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
Performant, Multi-Objective Scheduling of Highly Interleaved Task Graphs on Heterogeneous System on Chip Devices.
IEEE Trans. Parallel Distributed Syst., 2022

<i>MGait</i>: Model-Based Gait Analysis Using Wearable Bend and Inertial Sensors.
ACM Trans. Internet Things, 2022

Probabilistic Risk-Aware Scheduling with Deadline Constraint for Heterogeneous SoCs.
ACM Trans. Embed. Comput. Syst., 2022

SWAP: A Server-Scale Communication-Aware Chiplet-Based Manycore PIM Accelerator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Systematic Survey of Research Trends in Technology Usage for Parkinson's Disease.
Sensors, 2022

Impact of On-chip Interconnect on In-memory Acceleration of Deep Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., 2022

ECO: Enabling Energy-Neutral IoT Devices Through Runtime Allocation of Harvested Energy.
IEEE Internet Things J., 2022

COIN: Communication-Aware In-Memory Acceleration for Graph Convolutional Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

DAS: Dynamic Adaptive Scheduling for Energy-Efficient Heterogeneous SoCs.
IEEE Embed. Syst. Lett., 2022

tinyMAN: Lightweight Energy Manager using Reinforcement Learning for Energy Harvesting Wearable IoT Devices.
CoRR, 2022

mRI: Multi-modal 3D Human Pose Estimation Dataset using mmWave, RGB-D, and Inertial Sensors.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

A Domain-Specific System-On-Chip Design for Energy Efficient Wearable Edge AI Applications.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022


Big-Little Chiplets for In-Memory Acceleration of DNNs: A Scalable Heterogeneous Architecture.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

INDENT: Incremental Online Decision Tree Training for Domain-Specific Systems-on-Chip.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Fast and scalable human pose estimation using mmWave point cloud.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Front-End Architecture Design for Low-Complexity 3-D Ultrasound Imaging Based on Synthetic Aperture Sequential Beamforming.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Guest Editorial: Special Issue On Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2021

FLASH: Fast Neural Architecture Search with Hardware Optimization.
ACM Trans. Embed. Comput. Syst., 2021

SIAM: Chiplet-based Scalable In-Memory Acceleration with Mesh for Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2021

MARS: mmWave-based Assistive Rehabilitation System for Smart Healthcare.
ACM Trans. Embed. Comput. Syst., 2021

Analysis and Control of Power-Temperature Dynamics in Heterogeneous Multiprocessors.
IEEE Trans. Control. Syst. Technol., 2021

Malicious Activity Detection in Lightweight Wearable and IoT Devices Using Signal Stitching.
Sensors, 2021

Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic.
IEEE Embed. Syst. Lett., 2021

Hypervector Design for Efficient Hyperdimensional Computing on Edge Devices.
CoRR, 2021

How Much Energy Can We Harvest Daily for Wearable Applications?
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Wearable Devices and Low-Power Design for Smart Health Applications: Challenges and Opportunities.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Theoretical Analysis and Evaluation of NoCs with Weighted Round-Robin Arbitration.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Performance analysis and optimization of decision tree classifiers on embedded devices: work-in-progress.
Proceedings of the EMSOFT '21: Proceedings of the 2021 International Conference on Embedded Software, Virtual Event, October 8, 2021

Subject-Independent Freezing of Gait (FoG) Prediction in Parkinson's Disease Patients.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

System-Level Benchmarking of Chiplet-based IMC Architectures for Deep Neural Network Acceleration.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
An Energy-aware Online Learning Framework for Resource Management in Heterogeneous Platforms.
ACM Trans. Design Autom. Electr. Syst., 2020

Guest Editors' Introduction: Special Issue on Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2020

Runtime Task Scheduling Using Imitation Learning for Heterogeneous Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

DS3: A System-Level Domain-Specific System-on-Chip Simulation Framework.
IEEE Trans. Computers, 2020

Voltage-Frequency Domain Optimization for Energy-Neutral Wearable Health Devices.
Sensors, 2020

Energy per Operation Optimization for Energy-Harvesting Wearable IoT Devices.
Sensors, 2020

w-HAR: An Activity Recognition Dataset and Framework Using Low-Power Wearable Devices.
Sensors, 2020

Self-Powered Wearable IoT Devices for Health and Activity Monitoring.
Found. Trends Electron. Des. Autom., 2020

A Latency-Optimized Reconfigurable NoC for In-Memory Acceleration of DNNs.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020

A Survey on Energy Management for Mobile and IoT Devices.
IEEE Des. Test, 2020

Guest Editors' Introduction: Design and Management of Mobile Platforms: From Smartphones to Wearable Devices.
IEEE Des. Test, 2020

Interconnect-Aware Area and Energy Optimization for In-Memory Acceleration of DNNs.
IEEE Des. Test, 2020

Determining Mechanical Stress Testing Parameters for FHE Designs with Low Computational Overhead.
IEEE Des. Test, 2020

HiLITE: Hierarchical and Lightweight Imitation Learning for Power Management of Embedded SoCs.
IEEE Comput. Archit. Lett., 2020

Special Session: Physically Flexible Devices for Health and Activity Monitoring: Challenges from Design to Test.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Analytical modeling of NoCs for fast simulation and design exploration (invited).
Proceedings of the SLIP '20: System-Level Interconnect, 2020

Edge AI: Systems Design and ML for IoT Data Analytics.
Proceedings of the KDD '20: The 26th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, 2020

Towards wearable piezoelectric energy harvesting: modeling and experimental validation.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

User-Space Emulation Framework for Domain-Specific SoC Design.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Dynamic Resource Management of Heterogeneous Mobile Platforms via Imitation Learning.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs.
ACM Trans. Design Autom. Electr. Syst., 2019

Analytical Performance Models for NoCs with Multiple Priority Traffic Classes.
ACM Trans. Embed. Comput. Syst., 2019

An Ultra-Low Energy Human Activity Recognition Accelerator for Wearable Health Applications.
ACM Trans. Embed. Comput. Syst., 2019

OpenHealth: Open-Source Platform for Wearable Health Monitoring.
IEEE Des. Test, 2019

Work-in-Progress: A Simulation Framework for Domain-Specific System-on-Chips.
CoRR, 2019

A Deep Q-Learning Approach for Dynamic Management of Heterogeneous Processors.
IEEE Comput. Archit. Lett., 2019

PCB Hardware Trojans: Attack Modes and Detection Strategies.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Optimized Stress Testing for Flexible Hybrid Electronics Designs.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Sensor-Classifier Co-Optimization for Wearable Human Activity Recognition Applications.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

Power and Thermal Analysis of Commercial Mobile Platforms: Experiments and Case Studies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

REAP: Runtime Energy-Accuracy Optimization for Energy Harvesting IoT Devices.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

A simulation framework for domain-specific system-on-chips: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

2018
Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Instinctive Assistive Indoor Navigation using Distributed Intelligence.
ACM Trans. Design Autom. Electr. Syst., 2018

Remote Detection of Unauthorized Activity via Spectral Analysis.
ACM Trans. Design Autom. Electr. Syst., 2018

Detection Mechanisms for Unauthorized Wireless Transmissions.
ACM Trans. Design Autom. Electr. Syst., 2018

Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads.
IEEE Trans. Multi Scale Comput. Syst., 2018

An Online Learning Methodology for Performance Modeling of Graphics Processors.
IEEE Trans. Computers, 2018

Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Online learning for adaptive optimization of heterogeneous SoCs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Online human activity recognition using low-power wearable devices.
Proceedings of the International Conference on Computer-Aided Design, 2018

STAFF: online learning with stabilized adaptive forgetting factor and feature selection algorithm.
Proceedings of the 55th Annual Design Automation Conference, 2018

Energy-Optimal Gesture Recognition using Self-Powered Wearable Devices.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
Flexibility-Aware System-on-Polymer (SoP): Concept to Prototype.
IEEE Trans. Multi Scale Comput. Syst., 2017

Flexible PV-cell Modeling for Energy Harvesting in Wearable IoT Applications.
ACM Trans. Embed. Comput. Syst., 2017

DyPO: Dynamic Pareto-Optimal Configuration Selection for Heterogeneous MpSoCs.
ACM Trans. Embed. Comput. Syst., 2017

Power-Temperature Stability and Safety Analysis for Multiprocessor Systems.
ACM Trans. Embed. Comput. Syst., 2017

Near-optimal energy allocation for self-powered wearable systems.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Fluid wireless protocols: energy-efficient design and implementation.
Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, 2017

Remote detection of unauthorized activity via spectral analysis: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

Adaptive Performance Sensitivity Model to Support GPU Power Management.
Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2017

2016
A generic energy optimization framework for heterogeneous platforms using scaling models.
Microprocess. Microsystems, 2016

Extending networks from chips to flexible and stretchable electronics.
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

Making unreliable Chem-FET sensors smart via soft calibration.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Detection of malicious hardware components in mobile platforms.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Adaptive performance prediction for integrated GPUs.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Multi-objective design optimization for flexible hybrid electronics.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Human-machine communication for assistive IoT technologies.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

Decoding human intent using a wearable system and multi-modal sensor data.
Proceedings of the 50th Asilomar Conference on Signals, Systems and Computers, 2016

2015
Constrained Energy Optimizationin Heterogeneous Platforms UsingGeneralized Scaling Models.
IEEE Comput. Archit. Lett., 2015

Can systems extend to polymer? SoP architecture design and challenges.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

Multi-product floorplan and uncore design framework for chip multiprocessors.
Proceedings of the 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction, 2015

Dark Silicon: From Computation to Communication.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Energy efficient scheduling for web search on heterogeneous microservers.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Robust Communication with IoT Devices using Wearable Brain Machine Interfaces.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Energy-efficient computing from systems-on-chip to micro-server and data centers.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Predictive dynamic thermal and power management for heterogeneous mobile platforms.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Context-aware control of smart objects via human-machine communication.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
T3A: Design and managements of multiprocessor systems-on-chips.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

Towards platform level power management in mobile systems.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

2013
Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures
Lecture Notes in Electrical Engineering 184, Springer, ISBN: 978-94-007-3957-4, 2013

In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches.
ACM Trans. Design Autom. Electr. Syst., 2013

Managing mobile platform power.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Dynamic voltage and frequency scaling for shared resources in multicore processor designs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
xMAS: Quick Formal Modeling of Communication Fabrics to Enable Verification.
IEEE Des. Test Comput., 2012

Design and optimization of communication fabrics: an industrial perspective.
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012

Energy-guided exploration of on-chip network design for exa-scale computing.
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012

2011
System interconnect design exploration for embedded MPSoCs.
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011

Dynamic power management of voltage-frequency island partitioned Networks-on-Chip using Intel's Single-chip Cloud Computer.
Proceedings of the NOCS 2011, 2011

OS-level power minimization under tight performance constraints in general purpose systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

2010
An Analytical Approach for Network-on-Chip Performance Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Physical-Aware Link Allocation and Route Assignment for Chip Multiprocessing.
Proceedings of the NOCS 2010, 2010

Quick formal modeling of communication fabrics to enable verification.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2010

2009
Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective.
Proceedings of the 46th Design Automation Conference, 2009

2008
Enabling multimedia using resource-constrained video processing techniques: A node-centric perspective.
ACM Trans. Design Autom. Electr. Syst., 2008

Analysis and optimization of prediction-based flow control in networks-on-chip.
ACM Trans. Design Autom. Electr. Syst., 2008

Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Variation-adaptive feedback control for networks-on-chip with multiple clock domains.
Proceedings of the 45th Design Automation Conference, 2008

2007
On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches.
ACM Trans. Design Autom. Electr. Syst., 2007

Challenges and Promising Results in NoC Prototyping Using FPGAs.
IEEE Micro, 2007

Towards Open Network-on-Chip Benchmarks.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Virtual Channels Planning for Networks-on-Chip.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Analytical router modeling for networks-on-chip performance analysis.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip.
Proceedings of the 44th Design Automation Conference, 2007

2006
Online summarization of dynamic time series data.
VLDB J., 2006

"It's a small world after all": NoC performance optimization via long-range link insertion.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Computation and communication refinement for multiprocessor SoC design: A system-level perspective.
ACM Trans. Design Autom. Electr. Syst., 2006

System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Communication architecture optimization: making the shortest path shorter in regular networks-on-chip.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Prediction-based flow control for network-on-chip traffic.
Proceedings of the 43rd Design Automation Conference, 2006

Design space exploration and prototyping for on-chip multimedia applications.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Application-specific network-on-chip architecture customization via long-range link insertion.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach.
Proceedings of the 2005 Design, 2005

Key research problems in NoC design: a holistic perspective.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

Communication-Centric SoC Design for Nanoscale Domain.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

2004
Dimensionality Reduction and Similarity Computation by Inner-Product Approximations.
IEEE Trans. Knowl. Data Eng., 2004

Resource-aware video processing techniques for ambient multimedia systems.
Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, 2004

Data partitioning techniques for pervasive multimedia platforms.
Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, 2004

2003
Dimensionality reduction using magnitude and shape approximations.
Proceedings of the 2003 ACM CIKM International Conference on Information and Knowledge Management, 2003

Path following controller design using sliding mode control theory.
Proceedings of the American Control Conference, 2003

2002
Autonomous vehicles in structured and semi-structured environments.
Proceedings of the 41st IEEE Conference on Decision and Control, 2002


  Loading...