Avi Ziv

Orcid: 0000-0002-6309-250X

According to our database1, Avi Ziv authored at least 72 papers between 1994 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Introduction to the Special Issue on Machine Learning for CAD/EDA.
ACM Trans. Design Autom. Electr. Syst., March, 2023

Neural Network Accelerated Implicit Filtering: Integrating Neural Network Surrogates With Provably Convergent Derivative Free Optimization Methods.
Proceedings of the International Conference on Machine Learning, 2023

2021
Deep Neural Network Accelerated Implicit Filtering.
CoRR, 2021

Using Deep Neural Networks And Derivative Free Optimization To Accelerate Coverage Closure.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021

Automatic Scalable System for the Coverage-Directed Generation (CDG) Problem.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Using Machine Learning Clustering To Find Large Coverage Holes.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

ML for CAD - Where is the Treasure Hiding?
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

Using DNNs and Smart Sampling for Coverage Closure Acceleration.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

Late Breaking Results: FRIENDS - Finding Related Interesting Events via Neighbor Detection.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
How To Catch A Lion In The Desert - On The Solution Of The Coverage Directed Generation (CDG) Problem.
CoRR, 2019

Challenges and Solutions in Post-Silicon Validation of High-end Processors (Invited Tutorial).
Proceedings of the 2019 Formal Methods in Computer Aided Design, 2019

2017
Post-Silicon Validation in the SoC Era: A Tutorial Introduction.
IEEE Des. Test, 2017

Cost-effective analysis of post-silicon functional coverage events.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Template Aware Coverage: Taking Coverage Analysis to the Next Level.
Proceedings of the 54th Annual Design Automation Conference, 2017

Solving Constraint Satisfaction Problems Containing Vectors of Unknown Size.
Proceedings of the Principles and Practice of Constraint Programming, 2017

2016
Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
The Verification Cockpit - Creating the Dream Playground for Data Analytics over the Verification Process.
Proceedings of the Hardware and Software: Verification and Testing, 2015

2014
Enhancing Scenario Quality Using Quasi-Events.
Proceedings of the Hardware and Software: Verification and Testing, 2014


2013
Hybrid checking for microarchitectural validation of microprocessor designs on acceleration platforms.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
Concurrent Generation of Concurrent Programs for Post-Silicon Validation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A Novel Approach for Implementing Microarchitectural Verification Plans in Processor Designs.
Proceedings of the Hardware and Software: Verification and Testing, 2012

Approximating checkers for simulation acceleration.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Generating instruction streams using abstract CSP.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Checking architectural outputs instruction-by-instruction on acceleration platforms.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Optimizing test-generation to the execution platform.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A probabilistic analysis of coverage methods.
ACM Trans. Design Autom. Electr. Syst., 2011

Automatic boosting of cross-product coverage using Bayesian networks.
Int. J. Softw. Tools Technol. Transf., 2011

Reverse Coverage Analysis.
Proceedings of the Hardware and Software: Verification and Testing, 2011

A unified methodology for pre-silicon verification and post-silicon validation.
Proceedings of the Design, Automation and Test in Europe, 2011

Learning microarchitectural behaviors to improve stimuli generation quality.
Proceedings of the 48th Design Automation Conference, 2011

Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor.
Proceedings of the 48th Design Automation Conference, 2011

Threadmill: a post-silicon exerciser for multi-threaded processors.
Proceedings of the 48th Design Automation Conference, 2011

2010
Reaching Coverage Closure in Post-silicon Validation.
Proceedings of the Hardware and Software: Verification and Testing, 2010

Bridging pre-silicon verification and post-silicon validation.
Proceedings of the 47th Design Automation Conference, 2010

2009
Using Bayesian networks and virtual coverage to hit hard-to-reach events.
Int. J. Softw. Tools Technol. Transf., 2009

2008
A probabilistic alternative to regression suites.
Theor. Comput. Sci., 2008

Ensuring Functional Closure of a Multi-core SoC through Verification Planning, Implementation and Execution.
Proceedings of the Ninth International Workshop on Microprocessor Test and Verification, 2008

Automatic Boosting of Cross-Product Coverage Using Bayesian Networks.
Proceedings of the Hardware and Software: Verification and Testing, 2008

Special session - What's so intelligent about testbenches?
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2008

2007
Using Virtual Coverage to Hit Hard-To-Reach Events.
Proceedings of the Hardware and Software: Verification and Testing, 2007

Verification Coverage: When is Enough, Enough?
Proceedings of the 44th Design Automation Conference, 2007

2006
Harnessing Machine Learning to Improve the Success Rate of Stimuli Generation.
IEEE Trans. Computers, 2006

Advanced Analysis Techniques for Cross-Product Coverage.
IEEE Trans. Computers, 2006

Using Linear Programming Techniques for Scheduling-Based Random Test-Case Generation.
Proceedings of the Hardware and Software, 2006

Scheduling-based test-case generation for verification of multimedia SoCs.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Panel: Functional coverage - is your design exposed?
Proceedings of the Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30, 2005

Advanced analysis techniques for cross-product coverage.
Proceedings of the Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30, 2005

2004
Genesys-Pro: Innovations in Test Program Generation for Functional Processor Verification.
IEEE Des. Test Comput., 2004

Probabilistic Alternative Regression Suites.
Proceedings of the International Symposium on Leveraging Applications of Formal Methods, 2004

Enhancing the efficiency of Bayesian network based coverage directed test generation.
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004

Stimuli Generation with Late Binding of Values.
Proceedings of the 2004 Design, 2004

Probabilistic regression suites for functional verification.
Proceedings of the 41th Design Automation Conference, 2004

Defining coverage views to improve functional coverage analysis.
Proceedings of the 41th Design Automation Conference, 2004

2003
Solving the generalized mask constraint for test generation of binary floating point add operation.
Theor. Comput. Sci., 2003

Panel: What's the next 'big thing' in simulation-based verification?
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003

Enhancing the control and efficiency of the covering process [logic verification].
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003

Piparazzi: a test program generator for micro-architecture flow verification.
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003

Functional Verification Environment for Object-oriented Hardware Designs.
Proceedings of the Forum on specification and Design Languages, 2003

Cross-Product Functional Coverage Measurement with Temporal Properties-Based Assertions .
Proceedings of the 2003 Design, 2003

Coverage directed test generation for functional verification using bayesian networks.
Proceedings of the 40th Design Automation Conference, 2003

Solving Range Constraints for Binary Floating-Point Instructions.
Proceedings of the 16th IEEE Symposium on Computer Arithmetic (Arith-16 2003), 2003

2002
Using a constraint satisfaction formulation and solution techniques for random test program generation.
IBM Syst. J., 2002

Hole analysis for functional coverage data.
Proceedings of the 39th Design Automation Conference, 2002

2001
Cost evaluation of coverage directed test generation for the IBM mainframe.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

1998
Analysis of Checkpointing Schemes with Task Duplication.
IEEE Trans. Computers, 1998

Design Reliability - Estimation through Statistical Analysis of Bug Discovery Data.
Proceedings of the 35th Conference on Design Automation, 1998

User Defined Coverage - A Tool Supported Methodology for Design Verification.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Performance Optimization of Checkpointing Schemes with Task Duplication.
IEEE Trans. Computers, 1997

An On-Line Algorithm for Checkpoint Placement.
IEEE Trans. Computers, 1997

1994
Analysis of Checkpointing Schemes for Multiprocessor Systems.
Proceedings of the 13th Symposium on Reliable Distributed Systems, 1994

Placement and Routing for a Field Programmable Multi-Chip Module.
Proceedings of the 31st Conference on Design Automation, 1994


  Loading...