Gabriel Robins

According to our database1, Gabriel Robins authored at least 73 papers between 1986 and 2019.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Transfer String Kernel for Cross-Context DNA-Protein Binding Prediction.
IEEE ACM Trans. Comput. Biol. Bioinform., 2019

2018
An accurate real-time RFID-based location system.
Int. J. Radio Freq. Identif. Technol. Appl., 2018

2016
DeepChrome: deep-learning for predicting gene expression from histone modifications.
Bioinform., 2016

ANMLzoo: a benchmark suite for exploring bottlenecks in automata processing engines and architectures.
Proceedings of the 2016 IEEE International Symposium on Workload Characterization, 2016

Generating efficient and high-quality pseudo-random behavior on Automata Processors.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
Toward Metrics of Design Automation Research Impact.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Compression-Aware Algorithms for Massive Datasets.
Proceedings of the 2015 Data Compression Conference, 2015

2013
Binary Interval Search: a scalable algorithm for counting interval intersections.
Bioinform., 2013

Real-time RFID localization using RSS.
Proceedings of the International Conference on Localization and GNSS, 2013

Algorithms for Compressed Inputs.
Proceedings of the 2013 Data Compression Conference, 2013

2012
A methodology for energy-quality tradeoff using imprecise hardware.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
An RFID-based object localisation framework.
Int. J. Radio Freq. Identif. Technol. Appl., 2011

2010
Efficient RFID-based mobile object localization.
Proceedings of the IEEE 6th International Conference on Wireless and Mobile Computing, 2010

2008
Minimum Steiner Tree Construction.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

Timing-Driven Interconnect Synthesis.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

2007
Multi-tag RFID systems.
Int. J. Internet Protoc. Technol., 2007

Physically Unclonable Function-Based Security and Privacy in RFID Systems.
Proceedings of the Fifth Annual IEEE International Conference on Pervasive Computing and Communications (PerCom 2007), 2007

The Practicality of Multi-Tag RFID Systems.
Proceedings of the RFID Technology - Concepts, Applications, Challenges, Proceedings of the 1st International Workshop on RFID Technology, 2007

2006
Generalized "Yoking-Proofs" for a Group of RFID Tags.
Proceedings of the 3rd Annual International ICST Conference on Mobile and Ubiquitous Systems: Computing, 2006

2005
Compressible area fill synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Tighter Bounds for Graph Steiner Tree Approximation.
SIAM J. Discret. Math., 2005

Multi-Tag Radio Frequency Identification Systems.
Proceedings of the Fourth IEEE Workshop on Automatic Identification Advanced Technologies (AutoID 2005), 2005

Randomized Pseudo-random Function Tree Walking Algorithm for Secure Radio-Frequency Identification.
Proceedings of the Fourth IEEE Workshop on Automatic Identification Advanced Technologies (AutoID 2005), 2005

2004
Evaluation of the new OASIS format for layout fill compression.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

2003
The moving-target traveling salesman problem.
J. Algorithms, 2003

Area Fill Generation With Inherent Data Volume Reduction.
Proceedings of the 2003 Design, 2003

2002
Area fill synthesis for uniform layout density.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Closing the smoothness and uniformity gap in area fill synthesis.
Proceedings of 2002 International Symposium on Physical Design, 2002

2001
An improved approximation scheme for the Group Steiner Problem.
Networks, 2001

Hierarchical dummy fill for process uniformity.
Proceedings of ASP-DAC 2001, 2001

2000
New approximation algorithms for routing with multiport terminals.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Improved Steiner tree approximation in graphs.
Proceedings of the Eleventh Annual ACM-SIAM Symposium on Discrete Algorithms, 2000

Practical iterated fill synthesis for CMP uniformity.
Proceedings of the 37th Conference on Design Automation, 2000

Monte-Carlo algorithms for layout density control.
Proceedings of ASP-DAC 2000, 2000

1999
Filling algorithms and analyses for layout density control.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

On Detecting Spatial Regularity in Noisy Images.
Inf. Process. Lett., 1999

New and Exact Filling Algorithms for Layout Density Control.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

New Multilevel and Hierarchical Algorithms for Layout Density Control.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
Placement and Routing for Performance-Oriented FPGA Layout.
VLSI Design, 1998

How to test a tree.
Networks, 1998

Filling and slotting: analysis and algorithms.
Proceedings of the 1998 International Symposium on Physical Design, 1998

Moving-Target TSP and Related Problems.
Proceedings of the Algorithms, 1998

Improved Approximation Bounds for the Group Steiner Problem.
Proceedings of the 1998 Design, 1998

1997
Provably good routing tree construction with multi-port terminals.
Proceedings of the 1997 International Symposium on Physical Design, 1997

1996
New performance-driven FPGA routing algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

On the Primer Selection Problem in Polymerase Chain Reaction Experiments.
Discret. Appl. Math., 1996

1995
Non-tree routing [VLSI layout].
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Near-optimal critical sink routing tree constructions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Low-Degree Minimum Spanning Trees.
Discret. Comput. Geom., 1995

A New Approach to Primer Selection in Polymerase Chain Reaction Experiments.
Proceedings of the Third International Conference on Intelligent Systems for Molecular Biology, 1995

Performance-oriented placement and routing for field-programmable gate arrays.
Proceedings of the Proceedings EURO-DAC'95, 1995

1994
On the Minimum Density Interconnection Tree Problem.
VLSI Design, 1994

Closing the gap: near-optimal Steiner trees in polynomial time.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Dynamically-Wiresized Elmore-Based Routing Constructions.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Non-Tree Routing.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

An architecture-independent approach to FPGA routing based on multi-weighted graphs.
Proceedings of the Proceedings EURO-DAC'94, 1994

Rectilinear Steiner Trees with Minimum Elmore Delay.
Proceedings of the 31st Conference on Design Automation, 1994

On the Maximum Degree of Minimum Spanning Trees.
Proceedings of the Tenth Annual Symposium on Computational Geometry, 1994

1993
Optimal robust path planning in general environments.
IEEE Trans. Robotics Autom., 1993

Matching-based methods for high-performance clock routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Minimum Density Interconneciton Trees.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

Fidelity and Near-Optimality of Elmore-Based Routing Constructions.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

Toward a Steiner engine: enhanced serial and parallel implementations of the iterated 1-Steiner MRST algorithm.
Proceedings of the Third Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1993

High-Performance Routing Trees With Identified Critical Sinks.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
On the performance bounds for a class of rectilinear Steiner tree heuristics in arbitrary dimension.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

A new class of iterative Steiner tree heuristics with good performance.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Provably good performance-driven global routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

1991
Optimal algorithms for extracting spatial regularity in images.
Pattern Recognit. Lett., 1991

Performance-Driven Global Routing for Cell Based ICs.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

High-Performance Clock Routing Based on Recursive Geometric Aatching.
Proceedings of the 28th Design Automation Conference, 1991

1990
A New Class of Steiner Trees Heuristics with Good Performance: The Iterated 1-Steiner-Approach.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

1989
Signal Constellation Design Tool: A Case Study in User Interface Synthesis.
Proceedings of the Computer Assisted Learning, 2nd International Conference, 1989

1986
Recent Developments in NIKL.
Proceedings of the 5th National Conference on Artificial Intelligence. Philadelphia, 1986


  Loading...