John C. Lach

Orcid: 0000-0002-7105-9996

Affiliations:
  • George Washington University, School of Engineering and Applied Science, Washington, DC, USA
  • University of Virginia, Charlottesville, VA, USA
  • University of California, Los Angeles, CA, USA (PhD 2000)


According to our database1, John C. Lach authored at least 148 papers between 1998 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2021
Wearable Respiration Monitoring: Interpretable Inference With Context and Sensor Biomarkers.
IEEE J. Biomed. Health Informatics, 2021

Extending Performance-Energy Trade-offs Via Dynamic Core Scaling.
IEEE Trans. Computers, 2021

Exploring the complementary relationship between solar and hydro energy harvesting for self-powered water monitoring in low-light conditions.
Environ. Model. Softw., 2021

A Graduate Curriculum in Cyber-Physical Systems.
IEEE Des. Test, 2021

Thermal Energy Harvesting Profiles in Residential Settings.
Proceedings of the SenSys '21: The 19th ACM Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15, 2021

Capacitive Sensing for Monitoring Stent Patency in the Central Airway.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Rehabilitation Tracking of Athletes Post Anterior Cruciate Ligament Reconstruction (ACL-R) Surgery Through Causal Analysis of Gait Data & Computational Modeling.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Piezoelectric-Based Respiratory Monitoring: Towards Self-Powered Implantables for the Airways.
Proceedings of the 17th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2021

2020
Automatic, wearable-based, in-field eating detection approaches for public health research: a scoping review.
npj Digit. Medicine, 2020

Actionable Interpretation of Machine Learning Models for Sequential Data: Dementia-related Agitation Use Case.
CoRR, 2020

Transfer Learning for Activity Recognition in Mobile Health.
CoRR, 2020

MFED: A System for Monitoring Family Eating Dynamics.
CoRR, 2020

2019
Enabling Smartphone-based Estimation of Heart Rate.
CoRR, 2019

Agitation Monitoring and Prevention System for Dementia Caregiver Empowerment.
Computer, 2019

TEG Data Collection Platform for Wearable Applications.
Proceedings of the 7th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems, 2019

Besi: behavior learning and tracking with wearable and in-home sensors - a dementia case-study: poster abstract.
Proceedings of the International Conference on Internet of Things Design and Implementation, 2019

Inferring Respiratory Minute Volume from Wrist Motion.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Multiple-Instance Learning for Sparse Behavior Modeling from Wearables: Toward Dementia-Related Agitation Prediction.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Poster Abstract: Examining Cross-Validation Strategies for Predictive Modeling of Anterior Cruciate Ligament Reinjury.
Proceedings of the 4th IEEE/ACM International Conference on Connected Health: Applications, 2019

Developing Computational Models for Personalized ACL Injury Classification.
Proceedings of the 16th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2019

Non-Invasive Inference of Minute Ventilation Using Wearable ECG and Gaussian Process Regression.
Proceedings of the 2019 IEEE EMBS International Conference on Biomedical & Health Informatics, 2019

2018
EHDC: An Energy Harvesting Modeling and Profiling Platform for Body Sensor Networks.
IEEE J. Biomed. Health Informatics, 2018

Understanding the Physiological Significance of Four Inertial Gait Features in Multiple Sclerosis.
IEEE J. Biomed. Health Informatics, 2018

Dynamical Properties of Postural Control in Obese Community-Dwelling Older Adults.
Sensors, 2018

Lighting IoT Test Environment (LITE) Platform: Evaluating Light-Powered, Energy HarvestingEmbedded Systems.
Proceedings of the 2018 Global Internet of Things Summit, 2018

Application-driven dynamic power management for self-powered vigilant monitoring.
Proceedings of the 15th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2018

Inferring physical agitation in dementia using smartwatch and sequential behavior models.
Proceedings of the 2018 IEEE EMBS International Conference on Biomedical & Health Informatics, 2018

2017
Distant Emotion Recognition.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol., 2017

Remotely engaged: Lessons from remote monitoring in multiple sclerosis.
Int. J. Medical Informatics, 2017

Healthedge: Task Scheduling for Edge Computing with Health Emergency and Human Behavior Consideration in Smart Homes.
Proceedings of the 2017 International Conference on Networking, Architecture, and Storage, 2017

Motion Biomarkers for Early Detection of Dementia-Related Agitation.
Proceedings of the 1st Workshop on Digital Biomarkers, 2017

M^2G: A Monitor of Monitoring Systems with Ground Truth Validation Features for Research-Oriented Residential Applications.
Proceedings of the 14th IEEE International Conference on Mobile Ad Hoc and Sensor Systems, 2017

Characterizing and Calibrating Low-Cost Wearable Ozone Sensors in Dynamic Environments.
Proceedings of the Second IEEE/ACM International Conference on Connected Health: Applications, 2017

BESI: Reliable and Heterogeneous Sensing and Intervention for In-home Health Applications.
Proceedings of the Second IEEE/ACM International Conference on Connected Health: Applications, 2017

SCAVM: A self-powered cardiac and activity vigilant monitoring system.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
Causality Analysis of Inertial Body Sensors for Multiple Sclerosis Diagnostic Enhancement.
IEEE J. Biomed. Health Informatics, 2016

Toward Pervasive Gait Analysis With Wearable Sensors: A Systematic Review.
IEEE J. Biomed. Health Informatics, 2016

Piecewise Linear Dynamical Model for Action Clustering from Real-World Deployments of Inertial Body Sensors.
IEEE Trans. Affect. Comput., 2016

Deepmotion: a deep convolutional neural network on inertial body sensors for gait assessment in multiple sclerosis.
Proceedings of the 2016 IEEE Wireless Health, 2016

Eating gestures detection by tracking finger motion.
Proceedings of the 2016 IEEE Wireless Health, 2016

Adaptive symptom reporting for mobile patient-reported disability assessment.
Proceedings of the 2016 IEEE Wireless Health, 2016

M2FED: Monitoring and Modeling Family Eating Dynamics: Poster Abstract.
Proceedings of the 14th ACM Conference on Embedded Network Sensor Systems, SenSys 2016, 2016

Gait tracker shoe for accurate step-by-step determination of gait parameters.
Proceedings of the 13th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2016

Profiling, modeling, and predicting energy harvesting for self-powered body sensor platforms.
Proceedings of the 13th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2016

Determining physiological significance of inertial gait features in multiple sclerosis.
Proceedings of the 13th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2016

2015
Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing.
Proc. IEEE, 2015

Home wireless sensing system for monitoring nighttime agitation and incontinence in patients with Alzheimer's disease.
Proceedings of the conference on Wireless Health, 2015

Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Dynamic core scaling: Trading off performance and energy beyond DVFS.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Self-powered wearable sensor platforms for wellness.
Proceedings of the 2015 International Conference on Compilers, 2015

Causal analysis of inertial body sensors for enhancing gait assessment separability towards multiple sclerosis diagnosis.
Proceedings of the 12th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2015

Correlations between Inertial Body Sensor Measures and Clinical Measures in Multiple Sclerosis.
Proceedings of the 10th EAI International Conference on Body Area Networks, 2015

Toward Detection and Monitoring of Gait Pathology using Inertial Sensors under Rotation, Scale, and Offset Invariant Dynamic Time Warping.
Proceedings of the 10th EAI International Conference on Body Area Networks, 2015

2014
A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance.
IEEE J. Solid State Circuits, 2014

Adaptive front-end throttling for superscalar processors.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Application-informed platform evaluation for commercial-off-the-shelf dynamic voltage scaling.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A low-power accuracy-configurable floating point multiplier.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Low Power GPGPU Computation with Imprecise Hardware.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Piecewise Linear Dynamical Model for Actions Clustering from Inertial Body Sensors with Considerations of Human Factors.
Proceedings of the 9th International Conference on Body Area Networks, 2014

2013
Introduction to the special section on wireless health systems.
ACM Trans. Embed. Comput. Syst., 2013

Characterising and minimising sources of error in inertial body sensor networks.
Int. J. Auton. Adapt. Commun. Syst., 2013

BodySim: a multi-domain modeling and simulation framework for body sensor networks research and design.
Proceedings of the 11th ACM Conference on Embedded Network Sensor Systems, 2013

Balancing Adder for error tolerant applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

FSTPA-I: a formal approach to hazard identification via system theoretic process analysis.
Proceedings of the ACM/IEEE 4th International Conference on Cyber-Physical Systems (with CPS Week 2013), 2013

Unsupervised activity clustering to estimate energy expenditure with a single body sensor.
Proceedings of the 2013 IEEE International Conference on Body Sensor Networks, 2013

Nonlinear Feature for Gait Speed Estimation using Inertial Sensors.
Proceedings of the 8th International Conference on Body Area Networks, 2013

Towards a Framework for Safety Analysis of Body Sensor Networks.
Proceedings of the 8th International Conference on Body Area Networks, 2013

2012
Application-Focused Energy-Fidelity Scalability for Wireless Motion-Based Health Assessment.
ACM Trans. Embed. Comput. Syst., 2012

Body Sensor Networks: A Holistic Approach From Silicon to Users.
Proc. IEEE, 2012

Aiding diagnosis of normal pressure hydrocephalus with enhanced gait feature separability.
Proceedings of the Wireless Health 2012, 2012

A methodology for developing quality of information metrics for body sensor design.
Proceedings of the Wireless Health 2012, 2012

A charge pump based receiver circuit for voltage scaled interconnect.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

A programmable resistive power grid for post-fabrication flexibility and energy tradeoffs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

WiP Abstract: Enabling Holistic Design of Body Sensor Networks.
Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems, 2012

A methodology for energy-quality tradeoff using imprecise hardware.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Optimizing battery lifetime-fidelity tradeoffs in BSNs using personal activity profiles.
Proceedings of the 7th International Conference on Body Area Networks, 2012

2011
Enabling longitudinal assessment of ankle-foot orthosis efficacy for children with cerebral palsy.
Proceedings of Wireless Health 2011, 2011

Continuous, non-invasive assessment of agitation in dementia using inertial body sensors.
Proceedings of Wireless Health 2011, 2011

Analysis of gait in patients with normal pressure hydrocephalus.
Proceedings of the First ACM Workshop on Mobile Systems, 2011

Stepped Supply Voltage Switching for energy constrained systems.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability.
Proceedings of the 2011 IEEE/IFIP International Conference on Dependable Systems and Networks, 2011

Reducing the cost of redundant execution in safety-critical systems using relaxed dedication.
Proceedings of the Design, Automation and Test in Europe, 2011

A 90nm data flow processor demonstrating fine grained DVS for energy efficient operation from 0.25V to 1.2V.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

Cost-effective safety and fault localization using distributed temporal redundancy.
Proceedings of the 14th International Conference on Compilers, 2011

Detecting and Preventing Forward Head Posture with Wireless Inertial Body Sensor Networks.
Proceedings of the International Conference on Body Sensor Networks, 2011

Extracting Spatio-Temporal Information from Inertial Body Sensor Networks for Gait Speed Estimation.
Proceedings of the International Conference on Body Sensor Networks, 2011

Exploring the fidelity-efficiency design space using imprecise arithmetic.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Flexible Circuits and Architectures for Ultralow Power.
Proc. IEEE, 2010

Portable, non-invasive fall risk assessment in end stage renal disease patients on hemodialysis.
Proceedings of Wireless Health 2010, 2010

Longitudinal high-fidelity gait analysis with wireless inertial body sensors.
Proceedings of Wireless Health 2010, 2010

Transient fault models and AVF estimation revisited.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010

Bit-slice logic interleaving for spatial multi-bit soft-error tolerance.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010

A Methodology for the Systematic Evaluation of ANN Classifiers for BSN Applications.
Proceedings of the International Conference on Body Sensor Networks, 2010

Online Data and Execution Profiling for Dynamic Energy-Fidelity Optimization in Body Sensor Networks.
Proceedings of the International Conference on Body Sensor Networks, 2010

Characterizing and minimizing synchronization and calibration errors in inertial body sensor networks.
Proceedings of the 5th International ICST Conference on Body Area Networks, 2010

2009
On-Body Inertial Sensing and Signal Processing for Clinical Assessment of Tremor.
IEEE Trans. Biomed. Circuits Syst., 2009

Application-Specific Product Generics.
Computer, 2009

Body Area Sensor Networks: Challenges and Opportunities.
Computer, 2009

Panoptic DVS: A fine-grained dynamic voltage scaling framework for energy scalable CMOS design.
Proceedings of the 27th International Conference on Computer Design, 2009

ColSpace: Towards algorithm/implementation co-optimization.
Proceedings of the 27th International Conference on Computer Design, 2009

Performance of Delay-Based Trojan Detection Techniques under Parameter Variations.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009

Accurate, Fast Fall Detection Using Gyroscopes and Accelerometer-Derived Posture Information.
Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 2009

Neural Network Gait Classification for On-Body Inertial Sensors.
Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 2009

TEMPO 3.1: A Body Area Sensor Network Platform for Continuous Movement Assessment.
Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 2009

Dynamic voltage-frequency scaling in body area sensor networks using COTS components.
Proceedings of the 4th International ICST Conference on Body Area Networks, 2009

Enabling data-centric energy-fidelity scalability in wireless body area sensor networks.
Proceedings of the 4th International ICST Conference on Body Area Networks, 2009

Adaptive lossless compression in wireless body sensor networks.
Proceedings of the 4th International ICST Conference on Body Area Networks, 2009

2008
Accelerating Compute-Intensive Applications with GPUs and FPGAs.
Proceedings of the IEEE Symposium on Application Specific Processors, 2008

Power switch characterization for fine-grained dynamic voltage scaling.
Proceedings of the 26th International Conference on Computer Design, 2008

At-Speed Delay Characterization for IC Authentication and Trojan Horse Detection.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

IC Activation and User Authentication for Security-Sensitive Systems.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

Body-coupled communication for body sensor networks.
Proceedings of the 3rd International ICST Conference on Body Area Networks, 2008

2007
Interconnect Lifetime Prediction for Reliability-Aware Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Negative-skewed shadow registers for at-speed delay variation characterization.
Proceedings of the 25th International Conference on Computer Design, 2007

2006
Highly Flexible Multimode Digital Signal Processing Systems Using Adaptable Components and Controllers.
EURASIP J. Adv. Signal Process., 2006

Procrastinating voltage scheduling with discrete frequency sets.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Improved Thermal Management with Reliability Banking.
IEEE Micro, 2005

Monitoring Temperature in FPGA based SoCs.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Optimal procrastinating voltage scheduling for hard real-time systems.
Proceedings of the 42nd Design Automation Conference, 2005

Highly flexible multi-mode system synthesis.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

2004
Editorial: Special issue on dynamically adaptable embedded systems.
ACM Trans. Embed. Comput. Syst., 2004

A General Post-Processing Approach to Leakage Current Reduction in SRAM-Based FPGAs.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Interconnect lifetime prediction under dynamic stress for reliability-aware design.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

A Markov Reward Model for Reliable Synchronous Dataflow System Design.
Proceedings of the 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June, 2004

2003
Molecular electronics: from devices and interconnect to circuits and architecture.
Proc. IEEE, 2003

Alloyed Branch History: Combining Global and Local Branch History for Robust Performance.
Int. J. Parallel Program., 2003

Reducing Multimedia Decode Power using Feedback Control.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Modeling QCA for area minimization in logic synthesis.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Designing, Scheduling, and Allocating Flexible Arithmetic Components.
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003

Heterogeneous Redundancy for Fault and Defect Tolerance with Complexity Independent Area Overhead.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Fine-Grained Self-Healing Hardware for Large-Scale Autonomic Systems.
Proceedings of the 14th International Workshop on Database and Expert Systems Applications (DEXA'03), 2003

2002
Odd/even bus invert with two-phase transfer for buses with coupling.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Control-theoretic dynamic frequency and voltage scaling for multimedia workloads.
Proceedings of the International Conference on Compilers, 2002

2001
Fingerprinting techniques for field-programmable gate arrayintellectual property protection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Constraint-based watermarking techniques for design IP protection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

2000
Enhanced FPGA reliability through efficient run-time fault reconfiguration.
IEEE Trans. Reliab., 2000

Efficient error detection, localization, and correction for FPGA-based debugging.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Enhanced Intellectual Property Protection for Digital Circuits on Programmable Hardware.
Proceedings of the Information Hiding, Third International Workshop, 1999

Efficient Support of Hardware Debugging Through FPGA Physical Design Partitioning.
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999

Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Robust FPGA Intellectual Property Protection Through Multiple Small Watermarks.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Low overhead fault-tolerant FPGA systems.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Fingerprinting Digital Circuits on Programmable Hardware.
Proceedings of the Information Hiding, 1998

Signature hiding techniques for FPGA intellectual property protection.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Efficiently Supporting Fault-Tolerance in FPGAs.
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998

Watermarking Techniques for Intellectual Property Protection.
Proceedings of the 35th Conference on Design Automation, 1998

FPGA fingerprinting techniques for protecting intellectual property.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998


  Loading...