Jason Clemons

Affiliations:
  • NVIDIA


According to our database1, Jason Clemons authored at least 22 papers between 2011 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications.
CoRR, 2022

2021
Simba: scaling deep-learning inference with chiplet-based architecture.
Commun. ACM, 2021

2020
A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm.
IEEE J. Solid State Circuits, 2020

2019
A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

MAGNet: A Modular Accelerator Generator for Neural Networks.
Proceedings of the International Conference on Computer-Aided Design, 2019

A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology.
Proceedings of the 2019 IEEE Hot Chips 31 Symposium (HCS), 2019

Buffets: An Efficient and Composable Storage Idiom for Explicit Decoupled Data Orchestration.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Automotive Computing.
IEEE Micro, 2018

Structurally Sparsified Backward Propagation for Faster Long Short-Term Memory Training.
CoRR, 2018


2017
Reinforcement Learning through Asynchronous Advantage Actor-Critic on a GPU.
Proceedings of the 5th International Conference on Learning Representations, 2017

2016
Virtualizing Deep Neural Networks for Memory-Efficient Neural Network Design.
CoRR, 2016

GA3C: GPU-based A3C for Deep Reinforcement Learning.
CoRR, 2016

vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

A patch memory system for image processing and computer vision.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2013
Computer Architectures for Mobile Computer Vision Systems.
PhD thesis, 2013

EVA: An efficient vision architecture for mobile systems.
Proceedings of the International Conference on Compilers, 2013

2012
MVSS: Michigan Visual Sonification System.
Proceedings of the 2012 IEEE International Conference on Emerging Signal Processing Applications, 2012

2011
MEVBench: A mobile computer vision benchmarking suite.
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011

EFFEX: an embedded processor for computer vision based feature extraction.
Proceedings of the 48th Design Automation Conference, 2011


  Loading...