Priyanka Raina

Orcid: 0000-0002-8834-8663

According to our database1, Priyanka Raina authored at least 49 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Amber: A 16-nm System-on-Chip With a Coarse- Grained Reconfigurable Array for Flexible Acceleration of Dense Linear Algebra.
IEEE J. Solid State Circuits, March, 2024

8-bit Transformer Inference and Fine-tuning for Edge Accelerators.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Improving Energy Efficiency of CGRAs with Low-Overhead Fine-Grained Power Domains.
ACM Trans. Reconfigurable Technol. Syst., June, 2023

Unified Buffer: Compiling Image Processing and Machine Learning Applications to Push-Memory Accelerators.
ACM Trans. Archit. Code Optim., June, 2023

AHA: An Agile Approach to the Design of Coarse-Grained Reconfigurable Accelerators and Compilers.
ACM Trans. Embed. Comput. Syst., March, 2023

GeneFriends: gene co-expression databases and tools for humans and model organisms.
Nucleic Acids Res., January, 2023

3-D coarse-grained reconfigurable array using multi-pole NEM relays for programmable routing.
Integr., 2023

PEak: A Single Source of Truth for Hardware Design and Verification.
CoRR, 2023

Hardware Abstractions and Hardware Mechanisms to Support Multi-Task Execution on Coarse-Grained Reconfigurable Arrays.
CoRR, 2023

Canal: A Flexible Interconnect Generator for Coarse-Grained Reconfigurable Arrays.
IEEE Comput. Archit. Lett., 2023

An Open-Source $4 \times 8$ Coarse-Grained Reconfigurable Array Using SkyWater 130 nm Technology and Agile Hardware Design Flow.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

EMBER: A 100 MHz, 0.86 mm<sup>2</sup>, Multiple-Bits-per-Cell RRAM Macro in 40 nm CMOS with Compact Peripherals and 1.0 pJ/bit Read Circuitry.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

Ultra-Dense 3D Physical Design Unlocks New Architectural Design Points with Large Benefits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

APEX: A Framework for Automated Processing Element Design Space Exploration using Frequent Subgraph Analysis.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
High-density analog image storage in an analog-valued non-volatile memory array.
Neuromorph. Comput. Eng., December, 2022

A compute-in-memory chip based on resistive random-access memory.
Nat., 2022

CHIMERA: A 0.92-TOPS, 2.2-TOPS/W Edge AI Accelerator With 2-MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference.
IEEE J. Solid State Circuits, 2022

Cascade: An Application Pipelining Toolkit for Coarse-Grained Reconfigurable Arrays.
CoRR, 2022

Amber: A 367 GOPS, 538 GOPS/W 16nm SoC with a Coarse-Grained Reconfigurable Array for Flexible Acceleration of Dense Linear Algebra.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022


Synthesizing Instruction Selection Rewrite Rules from RTL using SMT.
Proceedings of the 22nd Formal Methods in Computer-Aided Design, 2022

mflowgen: a modular flow generator and ecosystem for community-driven physical design: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Efficient Routing in Coarse-Grained Reconfigurable Arrays Using Multi-Pole NEM Relays.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Best Papers From Hot Chips 32.
IEEE Micro, 2021

Enabling Reusable Physical Design Flows with Modular Flow Generators.
CoRR, 2021

Edge AI without Compromise: Efficient, Versatile and Accurate Neurocomputing in Resistive Random-Access Memory.
CoRR, 2021

Compiling Halide Programs to Push-Memory Accelerators.
CoRR, 2021

Automated Design Space Exploration of CGRA Processing Element Architectures using Frequent Subgraph Analysis.
CoRR, 2021

Simba: scaling deep-learning inference with chiplet-based architecture.
Commun. ACM, 2021

2020
A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm.
IEEE J. Solid State Circuits, 2020

33.1 A 74 TMACS/W CMOS-RRAM Neurosynaptic Core with Dynamically Reconfigurable Dataflow and In-situ Transposable Weights for Probabilistic Graphical Models.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Automating Vitiligo Skin Lesion Segmentation Using Convolutional Neural Networks.
Proceedings of the 17th IEEE International Symposium on Biomedical Imaging, 2020

A Framework for Adding Low-Overhead, Fine-Grained Power Domains to CGRAs.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A-QED Verification of Hardware Accelerators.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020


Interstellar: Using Halide's Scheduling Language to Analyze DNN Accelerators.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Automating Vitiligo Skin Lesion Segmentation Using Convolutional Neural Networks.
CoRR, 2019

A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Timeloop: A Systematic Approach to DNN Accelerator Evaluation.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

MAGNet: A Modular Accelerator Generator for Neural Networks.
Proceedings of the International Conference on Computer-Aided Design, 2019

A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology.
Proceedings of the 2019 IEEE Hot Chips 31 Symposium (HCS), 2019

2018
Energy-efficient circuits and systems for computational imaging and vision on mobile devices.
PhD thesis, 2018

2017
An Energy-Scalable Accelerator for Blind Image Deblurring.
IEEE J. Solid State Circuits, 2017

2016
24.1 A 0.6V 8mW 3D vision processor for a navigation device for the visually impaired.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2014
Correction to "Reconfigurable Processor for Energy-Efficient Computational Photography".
IEEE J. Solid State Circuits, 2014

2013
Reconfigurable Processor for Energy-Efficient Computational Photography.
IEEE J. Solid State Circuits, 2013

Reconfigurable processor for energy-scalable computational photography.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013


  Loading...