Rangharajan Venkatesan

According to our database1, Rangharajan Venkatesan authored at least 46 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 95.6-TOPS/W Deep Learning Inference Accelerator With Per-Vector Scaled 4-bit Quantization in 5 nm.
IEEE J. Solid State Circuits, 2023

Efficient Transformer Inference with Statically Structured Sparse Attention.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
LNS-Madam: Low-Precision Training in Logarithmic Number System Using Multiplicative Weight Update.
IEEE Trans. Computers, 2022

Fair and Comprehensive Benchmarking of Machine Learning Processing Chips.
IEEE Des. Test, 2022

Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications.
CoRR, 2022

A 17-95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Optimal Clipping and Magnitude-aware Differentiation for Improved Quantization-aware Training.
Proceedings of the International Conference on Machine Learning, 2022

2021
Low-Precision Training in Logarithmic Number System using Multiplicative Weight Update.
CoRR, 2021

Verifying High-Level Latency-Insensitive Designs with Formal Model Checking.
CoRR, 2021

VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference.
CoRR, 2021

Simba: scaling deep-learning inference with chiplet-based architecture.
Commun. ACM, 2021

VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference.
Proceedings of Machine Learning and Systems 2021, 2021

Session 3 Overview: Highlighted Chip Releases: Modern Digital SoCs Invited Papers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

IPA: Floorplan-Aware SystemC Interconnect Performance Modeling and Generation for HLS-based SoCs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Softermax: Hardware/Software Co-Design of an Efficient Softmax for Transformers.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Accelerating Chip Design With Machine Learning.
IEEE Micro, 2020

A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm.
IEEE J. Solid State Circuits, 2020

2019
A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Timeloop: A Systematic Approach to DNN Accelerator Evaluation.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

MAGNet: A Modular Accelerator Generator for Neural Networks.
Proceedings of the International Conference on Computer-Aided Design, 2019

A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology.
Proceedings of the 2019 IEEE Hot Chips 31 Symposium (HCS), 2019

Analog/Mixed-Signal Hardware Error Modeling for Deep Learning Inference.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Buffets: An Efficient and Composable Storage Idiom for Explicit Decoupled Data Orchestration.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018

2017
SCNN: An Accelerator for Compressed-sparse Convolutional Neural Networks.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

STAxCache: An approximate, energy efficient STT-MRAM cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Emulation-Based Analysis of System-on-Chip Performance Under Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Embedding Read-Only Memory in Spin-Transfer Torque MRAM-Based On-Chip Caches.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Cache Design with Domain Wall Memory.
IEEE Trans. Computers, 2016

Spin-Transfer Torque Memories: Devices, Circuits, and Systems.
Proc. IEEE, 2016

Asymmetric Underlapped FinFETs for Near- and Super-Threshold Logic at Sub-10nm Technology Nodes.
ACM J. Emerg. Technol. Comput. Syst., 2016

A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Energy-Efficient All-Spin Cache Hierarchy Using Shift-Based Writes and Multilevel Storage.
ACM J. Emerg. Technol. Comput. Syst., 2015

Spintastic: <u>spin</u>-based s<u>t</u>och<u>astic</u> logic for energy-efficient computing.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

DyReCTape: a <u>dy</u>namically <u>re</u>configurable <u>c</u>ache using domain wall memory <u>tape</u>s.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Asymmetric underlapped FinFET based robust SRAM design at 7nm node.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
SPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

2013
Reading spin-torque memory with spin-torque sensors.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Multi-level magnetic RAM using domain wall shift for energy-efficient, high-density caches.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

DWM-TAPESTRI - an energy efficient all-spin cache using domain wall shift based writes.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
TapeCache: a high density, energy efficient cache based on domain wall memory.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

2011
Energy efficient many-core processor for recognition and mining using spin-based memory.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

MACACO: Modeling and analysis of circuits for approximate computing.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

VESPA: Variability emulation for System-on-Chip performance analysis.
Proceedings of the Design, Automation and Test in Europe, 2011


  Loading...