Jeffrey B. Goeders

Orcid: 0000-0002-9822-6926

Affiliations:
  • University of British Columbia


According to our database1, Jeffrey B. Goeders authored at least 34 papers between 2011 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Assuring Netlist-to-Bitstream Equivalence using Physical Netlist Generation and Structural Comparison.
Proceedings of the International Conference on Field Programmable Technology, 2023

Improving the Reliability of FPGA CRO PUFs.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

2022
Inducing Non-uniform FPGA Aging Using Configuration-based Short Circuits.
ACM Trans. Reconfigurable Technol. Syst., 2022

Approaches for FPGA Design Assurance.
ACM Trans. Reconfigurable Technol. Syst., 2022

Leveraging FPGA Primitives to Improve Word Reconstruction during Netlist Reverse Engineering.
Proceedings of the International Conference on Field-Programmable Technology, 2022

Cloning the Unclonable: Physically Cloning an FPGA Ring-Oscillator PUF.
Proceedings of the International Conference on Field-Programmable Technology, 2022

2021
Automated Software Compiler Techniques to Provide Fault Tolerance for Real-Time Operating Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Fast Turnaround HLS Debugging Using Dependency Analysis and Debug Overlays.
ACM Trans. Reconfigurable Technol. Syst., 2020

Using Novel Configuration Techniques for Accelerated FPGA Aging.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
Implementation and Design Space Exploration of a Turbo Decoder in High-Level Synthesis.
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019

An Overlay for Rapid FPGA Debug of Machine Learning Applications.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Synchronizing On-Chip Software and Hardware Traces for HLS-Accelerated Programs.
Proceedings of the International Conference on Field-Programmable Technology, 2019

On-chip FPGA Debug Instrumentation for Machine Learning Applications.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
Using Physical and Functional Comparisons to Assure 3rd-Party IP for Modern FPGAs.
Proceedings of the 3rd IEEE International Verification and Security Workshop, 2018

Unified On-Chip Software and Hardware Debug for HLS-Accelerated Programs.
Proceedings of the International Conference on Field-Programmable Technology, 2018

An FPGA Overlay Architecture Supporting Rapid Implementation of Functional Changes during On-Chip Debug.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Architecture Exploration for HLS-Oriented FPGA Debug Overlays.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Demand Driven Assembly of FPGA Configurations Using Partial Reconfiguration, Ubuntu Linux, and PYNQ.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

2017
Signal-Tracing Techniques for In-System FPGA Debugging of High-Level Synthesis Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Accelerating in-system FPGA debug of high-level synthesis circuits using incremental compilation techniques.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Enabling Long Debug Traces of HLS Circuits Using Bandwidth-Limited Off-Chip Storage Devices.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Quantifying observability for in-system debug of high-level synthesis circuits.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Systems-on-Chip on FPGAs.
Proceedings of the FPGAs for Software Programmers, 2016

LegUp High-Level Synthesis.
Proceedings of the FPGAs for Software Programmers, 2016

2015
Allowing Software Developers to Debug HLS Hardware.
CoRR, 2015

Using Round-Robin Tracepoints to debug multithreaded HLS circuits on FPGAs.
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015

Using Dynamic Signal-Tracing to Debug Compiler-Optimized HLS Circuits on FPGAs.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
VTR 7.0: Next Generation Architecture and CAD System for FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2014

Power Aware Architecture Exploration for Field Programmable Gate Arrays.
J. Low Power Electron., 2014

Effective FPGA debug for high-level synthesis generated circuits.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Faster FPGA Debug: Efficiently Coupling Trace Instruments with User Circuitry.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2012
VersaPower: Power estimation for diverse FPGA architectures.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

The VTR project: architecture and CAD for FPGAs from verilog to routing.
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012

2011
Deterministic Timing-Driven Parallel Placement by Simulated Annealing Using Half-Box Window Decomposition.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011


  Loading...