Eddie Hung

Orcid: 0000-0001-7670-116X

According to our database1, Eddie Hung authored at least 34 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
RapidStream 2.0: Automated Parallel Implementation of Latency-Insensitive FPGA Designs Through Partial Reconfiguration.
ACM Trans. Reconfigurable Technol. Syst., December, 2023

Invited Paper: RapidWright: Unleashing the Full Power of FPGA Technology with Domain-Specific Tooling.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Narrowing the Synthesis Gap: Academic FPGA Synthesis is Catching Up With the Industry.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
100% Visibility at MHz Speed: Efficient Soft Scan-Chain Insertion on AMD/Xilinx FPGAs.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2022

2019
Yosys+nextpnr: An Open Source Framework from Verilog to Bitstream for Commercial FPGAs.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

EFCAD - An Embedded FPGA CAD Tool Flow for Enabling On-chip Self-Compilation.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

2018
KAPow: High-Accuracy, Low-Overhead Online Per-Module Power Estimation for FPGA Designs.
ACM Trans. Reconfigurable Technol. Syst., 2018

KOCL: Kernel-level Power Estimation for Arbitrary FPGA-SoC-accelerated OpenCL Applications.
Proceedings of the International Workshop on OpenCL, 2018

Extending post-silicon coverage measurement using time-multiplexed FPGA overlays.
Proceedings of the 23rd IEEE European Test Symposium, 2018

2017
Transparent In-Circuit Assertions for FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

KOCL: Power Self- Awareness for Arbitrary FPGA-SoC-Accelerated OpenCL Applications.
IEEE Des. Test, 2017

STRIPE: Signal selection for runtime power estimation.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

2016
Enabling Effective FPGA Debug using Overlays: Opportunities and Challenges.
CoRR, 2016

Knowledge is Power: Module-level Sensing for Runtime Optimisation (Abstact Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

KAPow: A System Identification Approach to Online Per-Module Power Estimation in FPGA Designs.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

2015
Mind the (synthesis) gap: Examining where academic FPGA tools lag behind industry.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Delay-Bounded Routing for Shadow Registers.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

Accelerating SpMV on FPGAs by Compressing Nonzero Values.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
Incremental Trace-Buffer Insertion for FPGA Debug.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Accelerating FPGA debug: Increasing visibility using a runtime reconfigurable observation and triggering network.
ACM Trans. Design Autom. Electr. Syst., 2014

Transparent insertion of latency-oblivious logic onto FPGAs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Faster FPGA Debug: Efficiently Coupling Trace Instruments with User Circuitry.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2013
Scalable Signal Selection for Post-Silicon Debug.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Linking the Verification and Validation of Complex Integrated Circuits Through Shared Coverage Metrics.
IEEE Des. Test, 2013

Maximum flow algorithms for maximum observability during FPGA debug.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Towards simulator-like observability for FPGAs: a virtual overlay network for trace-buffers.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

Escaping the Academic Sandbox: Realizing VPR Circuits on Xilinx Devices.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

2012
Cogeneration of Fast Motion Estimation Processors and Algorithms for Advanced Video Coding.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Rapid RTL-based signal ranking for FPGA prototyping.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

Limitations of incremental signal-tracing for FPGA debug.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

2011
On evaluating signal selection algorithms for post-silicon debug.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Speculative Debug Insertion for FPGAs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

2009
A detailed delay path model for FPGAs.
Proceedings of the 2009 International Conference on Field-Programmable Technology, 2009

2008
A configurable and programmable motion estimation processor for the H.264 video codec.
Proceedings of the FPL 2008, 2008


  Loading...