Mark Gottscho

Orcid: 0000-0001-8370-4158

According to our database1, Mark Gottscho authored at least 18 papers between 2012 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Ten Lessons From Three Generations Shaped Google's TPUv4i : Industrial Product.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

2019
Context-Aware Resiliency: Unequal Message Protection for Random-Access Memories.
IEEE Trans. Inf. Theory, 2019

2018
Error Correction and Detection for Computing Memories Using System Side Information.
Proceedings of the IEEE Information Theory Workshop, 2018

2017
Opportunistic Memory Systems in Presence of Hardware Variability.
PhD thesis, 2017

Low-Cost Memory Fault Tolerance for IoT Devices.
ACM Trans. Embed. Comput. Syst., 2017

Measuring the Impact of Memory Errors on Application Performance.
IEEE Comput. Archit. Lett., 2017

2016
X-Mem: A cross-platform and extensible memory characterization tool for the cloud.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

Software-Defined Error-Correcting Codes.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2016

Multi-story power distribution networks for GPUs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
ViPZonE: Hardware Power Variability-Aware Virtual Memory Management for Energy Savings.
IEEE Trans. Computers, 2015

DPCS: Dynamic Power/Capacity Scaling for SRAM Caches in the Nanoscale Era.
ACM Trans. Archit. Code Optim., 2015

NSF expedition on variability-aware software: Recent results and contributions.
it Inf. Technol., 2015

2014
A Case for Battery Charging-Aware Power Management and Deferrable Task Scheduling in Smartphones.
Proceedings of the 6th Workshop on Power-Aware Computing and Systems, 2014

Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Multi-Layer Memory Resiliency.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Variability-aware memory management for nanoscale computing.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Power Variability in Contemporary DRAMs.
IEEE Embed. Syst. Lett., 2012

ViPZonE: OS-level memory variability-driven physical address zoning for energy savings.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012


  Loading...