Jerónimo Castrillón

Orcid: 0000-0002-5007-445X

Affiliations:
  • TU Dresden, Germany


According to our database1, Jerónimo Castrillón authored at least 125 papers between 2005 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A System Development Kit for Big Data Applications on FPGA-based Clusters: The EVEREST Approach.
CoRR, 2024

The Landscape of Compute-near-memory and Compute-in-memory: A Research and Commercial Overview.
CoRR, 2024

Full-Stack Optimization for CAM-Only DNN Inference.
CoRR, 2024

C4CAM: A Compiler for CAM-based In-memory Accelerators.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
High-performance Deterministic Concurrency Using Lingua Franca.
ACM Trans. Archit. Code Optim., December, 2023

DownShift: Tuning Shift Reduction With Reliability for Racetrack Memories.
IEEE Trans. Computers, September, 2023

Automatic Creation of High-bandwidth Memory Architectures from Domain-specific Languages: The Case of Computational Fluid Dynamics.
ACM Trans. Reconfigurable Technol. Syst., June, 2023

ROLLED: Racetrack Memory Optimized Linear Layout and Efficient Decomposition of Decision Trees.
IEEE Trans. Computers, May, 2023

ConDRust: Scalable Deterministic Concurrency from Verifiable Rust Programs (Artifact).
Dagstuhl Artifacts Ser., 2023

HElium: A Language and Compiler for Fully Homomorphic Encryption with Support for Proxy Re-Encryption.
CoRR, 2023

CINM (Cinnamon): A Compilation Infrastructure for Heterogeneous Compute In-Memory and Compute Near-Memory Paradigms.
CoRR, 2023

Design Space Exploration for CNN Offloading to FPGAs at the Edge.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Compression-Aware and Performance-Efficient Insertion Policies for Long-Lasting Hybrid LLCs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

base2: An IR for Binary Numeral Types.
Proceedings of the 13th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2023

ConDRust: Scalable Deterministic Concurrency from Verifiable Rust Programs.
Proceedings of the 37th European Conference on Object-Oriented Programming, 2023

Pruning and Early-Exit Co-Optimization for CNN Acceleration on FPGAs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Towards Virtual Machine Support for Contextual Role-Oriented Programming Languages.
Proceedings of the 15th ACM International Workshop on Context-Oriented Programming and Advanced Modularity, 2023

Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023

2022
Brain-inspired Cognition in Next-generation Racetrack Memories.
ACM Trans. Embed. Comput. Syst., November, 2022

ALPHA: A Novel Algorithm-Hardware Co-Design for Accelerating DNA Seed Location Filtering.
IEEE Trans. Emerg. Top. Comput., 2022

OCC: An Automated End-to-End Machine Learning Optimizing Compiler for Computing-In-Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

BlendCache: An Energy and Area Efficient Racetrack Last-Level-Cache Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

mpsym: Improving Design-Space Exploration of Clustered Manycores With Arbitrary Topologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Energy-Efficient Instruction Delivery in Embedded Systems With Domain Wall Memory.
IEEE Trans. Computers, 2022

Model-based autotuning of discretization methods in numerical simulations of partial differential equations.
J. Comput. Sci., 2022

mAPN: Modeling, Analysis, and Exploration of Algorithmic and Parallelism Adaptivity.
CoRR, 2022

DNA Pre-Alignment Filter Using Processing Near Racetrack Memory.
IEEE Comput. Archit. Lett., 2022

Shisha: Online Scheduling of CNN Pipelines on Heterogeneous Architectures.
Proceedings of the Parallel Processing and Applied Mathematics, 2022

Parameterizable mobile workloads for adaptable base station optimizations.
Proceedings of the 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2022

HyCSim: A rapid design space exploration tool for emerging hybrid last-level caches.
Proceedings of the DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Budapest Hungary, January 17, 2022

Guard the Cache: Dispatch Optimization in a Contextual Role-oriented Language.
Proceedings of the COP@ECOOP 2022: International Workshop on Context-Oriented Programming and Advanced Modularity (collocated with ECOOP), 2022

STAMP-Rust: Language and Performance Comparison to C on Transactional Benchmarks.
Proceedings of the Benchmarking, Measuring, and Optimizing, 2022

2021
Domain-specific Hybrid Mapping for Energy-efficient Baseband Processing in Wireless Networks.
ACM Trans. Embed. Comput. Syst., 2021

Improving the Performance of Block-based DRAM Caches Via Tag-Data Decoupling.
IEEE Trans. Computers, 2021

A Reinforcement Learning Environment for Polyhedral Optimizations.
CoRR, 2021

Embeddings of Task Mappings to Multicore Systems.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2021

The OpenPME Problem Solving Environment for Numerical Simulations.
Proceedings of the Computational Science - ICCS 2021, 2021

Mocasin - Rapid Prototyping of Rapid Prototyping Tools: A Framework for Exploring New Approaches in Mapping Software to Heterogeneous Multi-cores.
Proceedings of the DroneSE and RAPIDO '21: Methods and Tools, 2021

Towards Adaptive Multi-Alternative Process Network.
Proceedings of the 12th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and 10th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2021

EVEREST: A design environment for extreme-scale big data analytics on heterogeneous platforms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

BLOwing Trees to the Ground: Layout Optimization of Decision Trees on Racetrack Memory.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

From Domain-Specific Languages to Memory-Optimized Accelerators for Fluid Dynamics.
Proceedings of the IEEE International Conference on Cluster Computing, 2021

An online guided tuning approach to run CNN pipelines on edge devices.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

PolyGym: Polyhedral Optimizations as an Environment for Reinforcement Learning.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Optimizing Tensor Contractions for Embedded Devices with Racetrack and DRAM Memories.
ACM Trans. Embed. Comput. Syst., 2020

Polyhedral Compilation for Racetrack Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

ShiftsReduce: Minimizing Shifts in Racetrack Memory 4.0.
ACM Trans. Archit. Code Optim., 2020

Magnetic Racetrack Memory: From Physics to the Cusp of Applications Within a Decade.
Proc. IEEE, 2020

The gem5 Simulator: Version 20.0+.
CoRR, 2020

Efficient dispatch of multi-object polymorphic call sites in contextual role-oriented programming languages.
Proceedings of the MPLR '20: 17th International Conference on Managed Programming Languages and Runtimes, 2020

Modem Design in the Era of 5G and Beyond: The Need for a Formal Approach.
Proceedings of the 27th International Conference on Telecommunications, 2020

A Language for Deterministic Coordination Across Multiple Timelines.
Proceedings of the Forum for Specification and Design Languages, 2020

ComPy-Learn: A toolbox for exploring machine learning representations for compilers.
Proceedings of the Forum for Specification and Design Languages, 2020

Achieving Determinism in Adaptive AUTOSAR.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Energy-efficient Runtime Resource Management for Adaptable Multi-application Mapping.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Generalized Data Placement Strategies for Racetrack Memories.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Compiler-based graph representations for deep learning models of code.
Proceedings of the CC '20: 29th International Conference on Compiler Construction, 2020

2019
A Novel Hybrid DRAM/STT-RAM Last-Level-Cache Architecture for Performance, Energy, and Endurance Enhancement.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Architecture and Advanced Electronics Pathways Toward Highly Adaptive Energy- Efficient Computing.
Proc. IEEE, 2019

Category-Theoretic Foundations of "STCLang: State Thread Composition as a Foundation for Monadic Dataflow Parallelism".
CoRR, 2019

RTSim: A Cycle-Accurate Simulator for Racetrack Memories.
IEEE Comput. Archit. Lett., 2019

Efficient late binding of dynamic function compositions.
Proceedings of the 12th ACM SIGPLAN International Conference on Software Language Engineering, 2019

On Compact Mappings for Multicore Systems.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

TeIL: a type-safe imperative tensor intermediate language.
Proceedings of the 6th ACM SIGPLAN International Workshop on Libraries, 2019

A case study on machine learning for synthesizing benchmarks.
Proceedings of the 3rd ACM SIGPLAN International Workshop on Machine Learning and Programming Languages, 2019

Optimizing tensor contractions for embedded devices with racetrack memory scratch-pads.
Proceedings of the 20th ACM SIGPLAN/SIGBED International Conference on Languages, 2019

SHRIMP: Efficient Instruction Delivery with Domain Wall Memory.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

STCLang: state thread composition as a foundation for monadic dataflow parallelism.
Proceedings of the 12th ACM SIGPLAN International Symposium on Haskell, 2019

RecordFlux: Formal Message Specification and Generation of Verifiable Binary Parsers.
Proceedings of the Formal Aspects of Component Software - 16th International Conference, 2019

Reactors: A Deterministic Model for Composable Reactive Systems.
Proceedings of the Cyber Physical Systems. Model-Based Design - 9th International Workshop, 2019

2018
Performance and Energy-Efficient Design of STT-RAM Last-Level Cache.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A Domain-Specific Language and Editor for Parallel Particle Methods.
ACM Trans. Math. Softw., 2018

A Hardware/Software Stack for Heterogeneous Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

NVMain Extension for Multi-Level Cache Systems.
Proceedings of the RAPIDO 2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2018

Supporting Fine-grained Dataflow Parallelism in Big Data Systems.
Proceedings of the 9th International Workshop on Programming Models and Applications for Multicores and Manycores, 2018

On the Representation of Mappings to Multicores.
Proceedings of the 12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018

Implicit Data-Parallelism in Kahn Process Networks: Bridging the MacQueen Gap.
Proceedings of the 9th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and 7th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2018

Meta-programming for cross-domain tensor optimizations.
Proceedings of the 17th ACM SIGPLAN International Conference on Generative Programming: Concepts and Experiences, 2018

CFDlang: High-level code generation for high-order methods in fluid dynamics.
Proceedings of the Real World Domain Specific Languages Workshop, 2018

Compiling for concise code and efficient I/O.
Proceedings of the 27th International Conference on Compiler Construction, 2018

2017
MAPS: A Software Development Environment for Embedded Multicore Applications.
Proceedings of the Handbook of Hardware/Software Codesign., 2017

Symmetry in Software Synthesis.
ACM Trans. Archit. Code Optim., 2017

Wildly Heterogeneous Post-CMOS Technologies Meet Software (Dagstuhl Seminar 17061).
Dagstuhl Reports, 2017

Robust Mapping of Process Networks to Many-Core Systems using Bio-Inspired Design Centering.
Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems, 2017

TETRiS: a Multi-Application Run-Time System for Predictable Execution of Static Mappings.
Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems, 2017

System simulation with gem5 and SystemC: The keystone for full interoperability.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Analyzing State-of-the-Art Role-based Programming Languages.
Proceedings of the Companion to the first International Conference on the Art, 2017

Efficient STT-RAM last-level-cache architecture to replace DRAM cache.
Proceedings of the International Symposium on Memory Systems, 2017

Application interference analysis: Towards energy-efficient workload management on heterogeneous micro-server architectures.
Proceedings of the 2017 IEEE Conference on Computer Communications Workshops, 2017

Architecture, Languages, Compilation and Hardware support for Emerging ManYcore systems (ALCHEMY): Preface.
Proceedings of the International Conference on Computational Science, 2017

Towards compositional and generative tensor optimizations.
Proceedings of the 16th ACM SIGPLAN International Conference on Generative Programming: Concepts and Experiences, 2017

Rethinking on-chip DRAM cache for simultaneous performance and energy optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Trading Fault Tolerance for Performance in AN Encoding.
Proceedings of the Computing Frontiers Conference, 2017

Multi-grained performance estimation for MPSoC compilers: work-in-progress.
Proceedings of the 2017 International Conference on Compilers, 2017

Extending a Compiler Backend for Complete Memory Error Detection.
Proceedings of the Automotive - Safety & Security 2017, 2017

2016
Guest Editorial: Special Issue on Virtual Prototyping of Parallel and Embedded Systems (ViPES).
ACM Trans. Embed. Comput. Syst., 2016

An optimal allocation of memory buffers for complex multicore platforms.
J. Syst. Archit., 2016

Compile- and run-time approaches for the selection of efficient data structures for dynamic graph analysis.
Appl. Netw. Sci., 2016

High-level NoC model for MPSoC compilers.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2016

Why Comparing System-Level MPSoC Mapping Approaches is Difficult: A Case Study.
Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2016

Fault tolerance with aspects: a feasibility study.
Proceedings of the 15th International Conference on Modularity, 2016

2015
GCC-Plugin for Automated Accelerator Generation and Integration on Hybrid FPGA-SoCs.
CoRR, 2015

Efficient Data Structures for Dynamic Graph Analysis.
Proceedings of the 11th International Conference on Signal-Image Technology & Internet-Based Systems, 2015

ViPES 2015 - Preface.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Compiling for Resilience: the Performance Gap.
Proceedings of the Parallel Computing: On the Road to Exascale, 2015

Analysis of Process Traces for Mapping Dynamic KPN Applications to MPSoCs.
Proceedings of the System Level Design from HW/SW to Memory for Embedded Systems, 2015

Multi/many-core programming: where are we standing?
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Automatic detection of concurrency bugs through event ordering constraints.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Software Compilation Techniques for MPSoCs.
Proceedings of the Handbook of Signal Processing Systems, 2013

Programming heterogeneous MPSoCs: tool flows to close the software productivity gap.
PhD thesis, 2013

MAPS: Mapping Concurrent Dataflow Applications to Heterogeneous MPSoCs.
IEEE Trans. Ind. Informatics, 2013

Efficient Implementation of Application-Aware Spinlock Control in MPSoCs.
Int. J. Embed. Real Time Commun. Syst., 2013

Split-cost communication model for improved MPSoC application mapping.
Proceedings of the 2013 International Symposium on System on Chip, 2013

2012
Application-aware spinlock control using a hardware scheduler in MPSoC platforms.
Proceedings of the 2012 International Symposium on System on Chip, 2012

Communication-aware mapping of KPN applications onto heterogeneous MPSoCs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Optimized Communication Architecture of MPSoCs with a Hardware Scheduler: A System-Level Analysis.
Int. J. Embed. Real Time Commun. Syst., 2011

Trends in embedded software synthesis.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

2010
Optimized communication architecture of MPSoCs with a hardware scheduler: A system view.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010

Trace-based KPN composability analysis for mapping simultaneous applications to MPSoC platforms.
Proceedings of the Design, Automation and Test in Europe, 2010

MPSoC programming using the MAPS compiler.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Software Compilation Techniques for MPSoCs.
Proceedings of the Handbook of Signal Processing Systems, 2010

2009
Task management in MPSoCs: An ASIP approach.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

A high-level virtual platform for early MPSoC software development.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
MAPS: an integrated framework for MPSoC application parallelization.
Proceedings of the 45th Design Automation Conference, 2008

2005
Reinforcement Learning with Kohonen-based State Aggregation for Obstacle Avoidance of a Mobile Robot.
Proceedings of the Memorias del Congreso Internacional de Inteligencia Computacional, 2005


  Loading...