Mingzhe Zhang

Orcid: 0000-0003-2279-7025

According to our database1, Mingzhe Zhang authored at least 52 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Taiyi: A high-performance CKKS accelerator for Practical Fully Homomorphic Encryption.
CoRR, 2024

POPA: Expressing High and Portable Performance across Spatial and Vector Architectures for Tensor Computations.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

2023
iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library.
CoRR, 2023

Words Can Be Confusing: Stereotype Bias Removal in Text Classification at the Word Level.
Proceedings of the Advances in Knowledge Discovery and Data Mining, 2023

Poseidon: Practical Homomorphic Encryption Accelerator.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

TensorFHE: Achieving Practical Computation on Encrypted Data Using GPGPU.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Lasa: Abstraction and Specialization for Productive and Performant Linear Algebra on FPGAs.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

Spatial-Temporal Fusion Pseudo-Labeling Based Informative Frame Classification for Confocal Laser Endomicroscopy Video.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2023

2022
A Boosting Algorithm for Positive-Unlabeled Learning.
CoRR, 2022

VNet: a versatile network to train real-time semantic segmentation models on a single GPU.
Sci. China Inf. Sci., 2022

Accelerating Graph Processing With Lightweight Learning-Based Data Reordering.
IEEE Comput. Archit. Lett., 2022

Enhancing GPU Performance via Neighboring Directory Table Based Inter-TLB Sharing.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

A Learning State Monitoring Method Based on Face Feature and Posture.
Proceedings of the Computer Supported Cooperative Work and Social Computing, 2022

ESTD: Empathy Style Transformer with Discriminative Mechanism.
Proceedings of the Advanced Data Mining and Applications - 18th International Conference, 2022

2021
Mining constant information for readable test data generation.
Int. J. Embed. Syst., 2021

RISC-NN: Use RISC, NOT CISC as Neural Network Hardware Infrastructure.
CoRR, 2021

Distilling Bit-level Sparsity Parallelism for General Purpose Deep Learning Acceleration.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

CoPIM: A Concurrency-aware PIM Workload Offloading Architecture for Graph Applications.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

BitX: Empower Versatile Inference with Hardware Runtime Pruning.
Proceedings of the ICPP 2021: 50th International Conference on Parallel Processing, Lemont, IL, USA, August 9, 2021

ECG Prediction based on Bidirectional Time Series Chain Discovery Algorithm.
Proceedings of the ICCSE '21: 5th International Conference on Crowd Science and Engineering, Jinan, China, October 16, 2021

Streamline Ring ORAM Accesses through Spatial and Temporal Optimization.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

A Pervasive Multi-physiological Signal-Based Emotion Classification with Shapelet Transformation and Decision Fusion.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2021

A Novel Emotion Recognition Method Incorporating MST-based Brain Network and FVMD-GAMPE.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2021

2020
Architecting Effectual Computation for Machine Learning Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Quick-and-Dirty: An Architecture for High-Performance Temporary Short Writes in MLC PCM.
IEEE Trans. Computers, 2019

Unit Test Data Generation for C Using Rule-Directed Symbolic Execution.
J. Comput. Sci. Technol., 2019

A Framework of Ant Colony P System.
IEEE Access, 2019

Self-Adaptive Address Mapping Mechanism for Access Pattern Awareness on DRAM.
Proceedings of the 2019 IEEE Intl Conf on Parallel & Distributed Processing with Applications, 2019

Balancing Performance and Energy Efficiency of ONoC by Using Adaptive Bandwidth.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

When Deep Learning Meets the Edge: Auto-Masking Deep Neural Networks for Efficient Machine Learning on Edge Devices.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019

Magma: A Monolithic 3D Vertical Heterogeneous ReRAM-based Main Memory Architecture.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

EC-Shuffle: Dynamic Erasure Coding Optimization for Efficient and Reliable Shuffle in Spark.
Proceedings of the 19th IEEE/ACM International Symposium on Cluster, 2019

FindeR: Accelerating FM-Index-Based Exact Pattern Matching in Genomic Sequences through ReRAM Technology.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

2018
SIMPO: A Scalable In-Memory Persistent Object Framework Using NVRAM for Reliable Big Data Computing.
ACM Trans. Archit. Code Optim., 2018

NVCL: Exploiting NVRAM in Cache-Line Granularity Differential Logging.
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018

Mmalloc: A Dynamic Memory Management on Many-core Coprocessor for the Acceleration of Storage-intensive Bioinformatics Application.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2018

2017
Scalable Adaptive NUMA-Aware Lock.
IEEE Trans. Parallel Distributed Syst., 2017

Automated String Constraints Solving for Programs Containing String Manipulation Functions.
J. Comput. Sci. Technol., 2017

Quick-and-Dirty: Improving Performance of MLC PCM by Using Temporary Short Writes.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Balancing Performance and Lifetime of MLC PCM by Using a Region Retention Monitor.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

A Family of Ant Colony P Systems.
Proceedings of the Bio-inspired Computing: Theories and Applications, 2017

2016
Scalable adaptive NUMA-aware lock: combining local locking and remote locking for efficient concurrency.
Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2016

COMRANCE: A rapid method for Network-on-Chip design space exploration.
Proceedings of the Seventh International Green and Sustainable Computing Conference, 2016

2015
FreeRider: Non-Local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information.
IEEE Trans. Parallel Distributed Syst., 2015

2014
SpongeDirectory: flexible sparse directories utilizing multi-level memristors.
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014

2013
A Path-Adaptive Opto-electronic Hybrid NoC for Chip Multi-processor.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Energy-Performance Modeling and Optimization of Parallel Computing in On-Chip Networks.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Spontaneous Reload Cache: Mimicking a Larger Cache with Minimal Hardware Requirement.
Proceedings of the IEEE Eighth International Conference on Networking, 2013

SimICT: A fast and flexible framework for performance and power evaluation of large-scale architecture.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Self-Correction Trace Model: A Full-System Simulator for Optical Network-on-Chip.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

2011
An Email Server Optimized for Storage Issues.
Proceedings of the IEEE 10th International Conference on Trust, 2011


  Loading...