Guojie Luo

Orcid: 0000-0003-4932-3655

Affiliations:
  • Peking University, Beijing, China


According to our database1, Guojie Luo authored at least 103 papers between 2007 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Weave: Abstraction and Integration Flow for Accelerators of Generated Modules.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

PowerSyn: A Logic Synthesis Framework With Early Power Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

The Dawn of AI-Native EDA: Promises and Challenges of Large Circuit Models.
CoRR, 2024

WideSA: A High Array Utilization Mapping Scheme for Uniform Recurrences on the Versal ACAP Architecture.
CoRR, 2024

2023
An <i>Intermediate-Centric</i> Dataflow for Transposed Convolution Acceleration on FPGA.
ACM Trans. Embed. Comput. Syst., November, 2023

Efficient Super-Resolution System With Block-Wise Hybridization and Quantized Winograd on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

MEC: An Open-source Fine-grained Mapping Equivalence Checking Tool for FPGA.
CoRR, 2023

iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library.
CoRR, 2023

Per-RMAP: Feasibility-Seeking and Superiorization Methods for Floorplanning with I/O Assignment.
CoRR, 2023

RF-SIFTER: Sifting Signals at Layer-0.5 to Mitigate Wideband Cross-Technology Interference for IoT.
Proceedings of the 29th Annual International Conference on Mobile Computing and Networking, 2023

Fast Exact NPN Classification with Influence-Aided Canonical Form.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

F-TFM: Accelerating Total Focusing Method for Ultrasonic Array Imaging on FPGA.
Proceedings of the International Conference on Field Programmable Technology, 2023

An Efficient Dataflow for Convolutional Generative Models.
Proceedings of the International Conference on Field Programmable Technology, 2023

Weave: Abstraction for Accelerator Integration of Generated Modules.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

Rethinking NPN Classification from Face and Point Characteristics of Boolean Functions.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

GDSII-Guard: ECO Anti-Trojan Optimization with Exploratory Timing-Security Trade-Offs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
The Case for FPGA-Based Edge Computing.
IEEE Trans. Mob. Comput., 2022

ART-3D: Analytical 3D Placement with Reinforced Parameter Tuning for Monolithic 3D ICs.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Purlin: A Versatile Toolkit for the Generation and Simulation of On-Chip Networks.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

EasyMAC: Design Exploration-Enabled Multiplier-Accumulator Generator Using a Canonical Architectural Representation: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Coarse-Grained Parallel Routing With Recursive Partitioning for FPGAs.
IEEE Trans. Parallel Distributed Syst., 2021

STAR: Synthesis of Stateful Logic in RRAM Targeting High Area Utilization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Combining Static and Dynamic Load Balance in Parallel Routing for FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

FPGA Acceleration for 3-D Low-Dose Tomographic Reconstruction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Area Efficient Pattern Representation of Binary Neural Networks on RRAM.
J. Comput. Sci. Technol., 2021

Feasibility study of practical vital sign detection using millimeter-wave radios.
CCF Trans. Pervasive Comput. Interact., 2021

An FPGA-Based Neural Network Overlay for ADAS Supporting Multi-Model and Multi-Mode.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

SSR: A Skeleton-based Synthesis Flow for Hybrid Processing-in-RRAM Modes.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Enhanced Fast Boolean Matching based on Sensitivity Signatures Pruning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

FPGA-accelerated Iterative Reconstruction for Transmission Electron Tomography.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021

TOCO: A Systolic Network for Efficient Transposed Convolutions with Output-Reuse Paths.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021

BlockGNN: Towards Efficient GNN Acceleration Using Block-Circulant Weight Matrices.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Formulating Data-arrival Synchronizers in Integer Linear Programming for CGRA Mapping.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
EEPC: A Framework for Energy-Efficient Parallel Control of Connected Cars.
IEEE Trans. Parallel Distributed Syst., 2020

Serial-Equivalent Static and Dynamic Parallel Routing for FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Dual-Output LUT Merging during FPGA Technology Mapping.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

SaFace: Towards Scenario-aware Face Recognition via Edge Computing System.
Proceedings of the 3rd USENIX Workshop on Hot Topics in Edge Computing, 2020

FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

Evaluating Low-Memory GEMMs for Convolutional Neural Network Inference on FPGAs.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

FPGA-accelerated Automatic Alignment for Three-dimensional Tomography.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

Hardware-assisted Service Live Migration in Resource-limited Edge Computing Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Exploring GPU-Accelerated Routing for FPGAs.
IEEE Trans. Parallel Distributed Syst., 2019

Data-Flow Graph Mapping Optimization for CGRA With Deep Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

An Analytical Method of Automatic Alignment for Electron Tomography.
Proceedings of the Large-Scale Annotation of Biomedical Data and Expert Label Synthesis and Hardware Aware Learning for Medical Imaging and Computer Assisted Intervention, 2019

Frequency Improvement of Systolic Array-Based CNNs on FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Winograd-Based Real-Time Super-Resolution System on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2019

FTConv: FPGA Acceleration for Transposed Convolution Layers in Deep Neural Networks.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

An Efficient Mapping Approach to Large-Scale DNNs on Multi-FPGA Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Parallel Stateful Logic in RRAM: Theoretical Analysis and Arithmetic Design.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
Smartphone-Based Indoor Map Construction - Principles and Applications
Springer Briefs in Computer Science, Springer, ISBN: 978-981-10-8377-8, 2018

V-PIM: An Analytical Overhead Model for Processing-in-Memory Architectures.
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018

Accelerating Mobile Applications at the Network Edge with Software-Programmable FPGAs.
Proceedings of the 2018 IEEE Conference on Computer Communications, 2018

cuMBIR: An Efficient Framework for Low-dose X-ray CT Image Reconstruction on GPUs.
Proceedings of the 32nd International Conference on Supercomputing, 2018

Adaptive-precision framework for SGD using deep Q-learning.
Proceedings of the International Conference on Computer-Aided Design, 2018

Mapping Large-Scale DNNs on Asymmetric FPGAs: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

BoxPlacer: Force Directed-Based Timing-Driven Placement for Large-Scale FPGAs: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Towards Serial-Equivalent Parallel Routing for FPGAs: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

DATuner: An Extensible Distributed Autotuning Framework for FPGA Design and Design Automation: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Exploiting Box Expansion and Grid Partitioning for Parallel FPGA Routing.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

FPGA-Based Real-Time Super-Resolution System for Ultra High Definition Videos.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

2017
Smartphone-Based Real Time Vehicle Tracking in Indoor Parking Structures.
IEEE Trans. Mob. Comput., 2017

Tiguan: Energy-aware collision-free control for large-scale connected vehicles.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Search space reduction for the non-exact projective NPNP Boolean matching problem.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Dependency-Aware Parallel Routing for Large-Scale FPGAs.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

A coordinated synchronous and asynchronous parallel routing approach for FPGAs.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A Parallel Bandit-Based Approach for Autotuning FPGA Compilation.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

FPGA Acceleration for Computational Glass-Free Displays.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

Megrez: Parallelizing FPGA Routing with Strictly-Ordered Partitioning.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Analytical Clustering Score with Application to Postplacement Register Clustering.
ACM Trans. Design Autom. Electr. Syst., 2016

Multi-Story Indoor Floor Plan Reconstruction via Mobile Crowdsensing.
IEEE Trans. Mob. Comput., 2016

Sextant: Towards Ubiquitous Indoor Localization Service by Photo-Taking of the Environment.
IEEE Trans. Mob. Comput., 2016

Scaling Up Physical Design: Challenges and Opportunities.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

Energy-Efficient CNN Implementation on a Deeply Pipelined FPGA Cluster.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

A fast and accurate approach for common path pessimism removal in static timing analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

VeMap: Indoor Road Map Construction via Smartphone-Based Vehicle Tracking.
Proceedings of the 2016 IEEE Global Communications Conference, 2016

2015
VeTrack: Real Time Vehicle Tracking in Uninstrumented Indoor Environments.
Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems, 2015

Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

Accelerate FPGA Routing with Parallel Recursive Partitioning.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

FPGA Acceleration for Simultaneous Image Reconstruction and Segmentation based on the Mumford-Shah Regularization (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

A Fast and Simple Block-Based Approach for Common Path Pessimism Removal in Static Timing Analysis.
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015

2014
VeLoc: finding your car in the parking lot.
Proceedings of the 12th ACM Conference on Embedded Network Sensor Systems, 2014

Rapid design space exploration of two-level unified caches.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Analyzing the impact of heterogeneous blocks on FPGA placement quality.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

FPGA Acceleration for Simultaneous Medical Image Reconstruction and Segmentation.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

2013
An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

FF-bond: multi-bit flip-flop bonding at placement.
Proceedings of the International Symposium on Physical Design, 2013

Optimizing routability in large-scale mixed-size placement.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Towards layout-friendly high-level synthesis.
Proceedings of the International Symposium on Physical Design, 2012

Memory partitioning and scheduling co-optimization in behavioral synthesis.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Floorplanning challenges in early chip planning.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Physical hierarchy exploration of 3D processors.
Proceedings of the International SoC Design Conference, 2011

A unified optimization framework for simultaneous gate sizing and placement under density constraints.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Early chip planning cockpit.
Proceedings of the Design, Automation and Test in Europe, 2011

Thermal-aware cell and through-silicon-via co-placement for 3D ICs.
Proceedings of the 48th Design Automation Conference, 2011

2010
Advances and Challenges in 3D Physical Design.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

An analytical placer for mixed-size 3D placement.
Proceedings of the 2010 International Symposium on Physical Design, 2010

Logic-on-logic 3D integration and placement.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
A multilevel analytical placement for 3D ICs.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Highly Efficient Gradient Computation for Density-Constrained Analytical Placement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Highly efficient gradient computation for density-constrained analytical placement methods.
Proceedings of the 2008 International Symposium on Physical Design, 2008

2007
Thermal-Aware 3D IC Placement Via Transformation.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007


  Loading...