Guojie Luo
Orcid: 0000-0003-4932-3655Affiliations:
- Peking University, Beijing, China
According to our database1,
Guojie Luo
authored at least 112 papers
between 2007 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024
Floorplanning with I/O assignment via feasibility-seeking and superiorization methods.
CoRR, 2024
CoRR, 2024
WideSA: A High Array Utilization Mapping Scheme for Uniform Recurrences on the Versal ACAP Architecture.
CoRR, 2024
Proceedings of the Great Lakes Symposium on VLSI 2024, 2024
Proceedings of the Euro-Par 2024: Parallel Processing, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
An <i>Intermediate-Centric</i> Dataflow for Transposed Convolution Acceleration on FPGA.
ACM Trans. Embed. Comput. Syst., November, 2023
Efficient Super-Resolution System With Block-Wise Hybridization and Quantized Winograd on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
CoRR, 2023
CoRR, 2023
Per-RMAP: Feasibility-Seeking and Superiorization Methods for Floorplanning with I/O Assignment.
CoRR, 2023
RF-SIFTER: Sifting Signals at Layer-0.5 to Mitigate Wideband Cross-Technology Interference for IoT.
Proceedings of the 29th Annual International Conference on Mobile Computing and Networking, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the International Conference on Field Programmable Technology, 2023
Proceedings of the International Conference on Field Programmable Technology, 2023
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023
Rethinking NPN Classification from Face and Point Characteristics of Boolean Functions.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
GDSII-Guard: ECO Anti-Trojan Optimization with Exploratory Timing-Security Trade-Offs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit.
Proceedings of the 15th IEEE International Conference on ASIC, 2023
2022
ART-3D: Analytical 3D Placement with Reinforced Parameter Tuning for Monolithic 3D ICs.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
Proceedings of the IEEE 40th International Conference on Computer Design, 2022
EasyMAC: Design Exploration-Enabled Multiplier-Accumulator Generator Using a Canonical Architectural Representation: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
2021
IEEE Trans. Parallel Distributed Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
J. Comput. Sci. Technol., 2021
CCF Trans. Pervasive Comput. Interact., 2021
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
TOCO: A Systolic Network for Efficient Transposed Convolutions with Output-Reuse Paths.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Formulating Data-arrival Synchronizers in Integer Linear Programming for CGRA Mapping.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
IEEE Trans. Parallel Distributed Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the 3rd USENIX Workshop on Hot Topics in Edge Computing, 2020
FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
IEEE Trans. Parallel Distributed Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Proceedings of the Large-Scale Annotation of Biomedical Data and Expert Label Synthesis and Hardware Aware Learning for Medical Imaging and Computer Assisted Intervention, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
2018
Springer Briefs in Computer Science, Springer, ISBN: 978-981-10-8377-8, 2018
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018
Accelerating Mobile Applications at the Network Edge with Software-Programmable FPGAs.
Proceedings of the 2018 IEEE Conference on Computer Communications, 2018
Proceedings of the 32nd International Conference on Supercomputing, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
BoxPlacer: Force Directed-Based Timing-Driven Placement for Large-Scale FPGAs: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
DATuner: An Extensible Distributed Autotuning Framework for FPGA Design and Design Automation: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018
2017
IEEE Trans. Mob. Comput., 2017
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017
2016
ACM Trans. Design Autom. Electr. Syst., 2016
IEEE Trans. Mob. Comput., 2016
Sextant: Towards Ubiquitous Indoor Localization Service by Photo-Taking of the Environment.
IEEE Trans. Mob. Comput., 2016
Proceedings of the 2016 on International Symposium on Physical Design, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
A fast and accurate approach for common path pessimism removal in static timing analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the 2016 IEEE Global Communications Conference, 2016
2015
Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems, 2015
Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
FPGA Acceleration for Simultaneous Image Reconstruction and Segmentation based on the Mumford-Shah Regularization (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
A Fast and Simple Block-Based Approach for Common Path Pessimism Removal in Static Timing Analysis.
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015
2014
Proceedings of the 12th ACM Conference on Embedded Network Sensor Systems, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014
2013
An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the International Symposium on Physical Design, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
Proceedings of the International Symposium on Physical Design, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
2011
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011
Proceedings of the International SoC Design Conference, 2011
A unified optimization framework for simultaneous gate sizing and placement under density constraints.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 48th Design Automation Conference, 2011
2010
IPSJ Trans. Syst. LSI Des. Methodol., 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Highly efficient gradient computation for density-constrained analytical placement methods.
Proceedings of the 2008 International Symposium on Physical Design, 2008
2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007