Nisar Ahmed

Orcid: 0000-0002-7555-5671

According to our database1, Nisar Ahmed authored at least 83 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
VRL-IQA: Visual Representation Learning for Image Quality Assessment.
IEEE Access, 2024

2023
An improved sliding mode control-based GMPPT algorithm for photovoltaic system.
Trans. Inst. Meas. Control, 2023

Blind Image Quality Assessment Using Multi-Stream Architecture with Spatial and Channel Attention.
CoRR, 2023

Deep Ensembling for Perceptual Image Quality Assessment.
CoRR, 2023

2022
Deep ensembling for perceptual image quality assessment.
Soft Comput., 2022

Constrained non-linear AVO inversion based on the adjoint-state optimization.
Comput. Geosci., 2022

Image Quality Assessment for Foliar Disease Identification (AgroPath).
CoRR, 2022

BIQ2021: A Large-Scale Blind Image Quality Assessment Database.
CoRR, 2022

2021
PIQI: perceptual image quality index based on ensemble of Gaussian process regression.
Multim. Tools Appl., 2021

Gaussian Process-based Feature-Enriched Blind Image Quality Assessment.
J. Vis. Commun. Image Represent., 2021

Non-Reference Quality Monitoring of Digital Images using Gradient Statistics and Feedforward Neural Networks.
CoRR, 2021

Text Mining Through Label Induction Grouping Algorithm Based Method.
CoRR, 2021

Development of Crop Yield Estimation Model using Soil and Environmental Parameters.
CoRR, 2021

Leaf Image-based Plant Disease Identification using Color and Texture Features.
CoRR, 2021

2020
Perceptual Quality Assessment of Digital Images Using Deep Features.
Comput. Informatics, 2020

Proactive Uplink Interference Management for Nonuniform Heterogeneous Cellular Networks.
IEEE Access, 2020

2019
H∞Optimization-based robust decoupling control algorithm in linear parameter varying systems using Hadamard weighting.
Trans. Inst. Meas. Control, 2019

Automated analysis of visual leaf shape features for plant classification.
Comput. Electron. Agric., 2019

Robust Control of Grid-Tied Parallel Inverters Using Nonlinear Backstepping Approach.
IEEE Access, 2019

Image Quality Assessment Using a Combination of Hand-Crafted and Deep Features.
Proceedings of the Intelligent Technologies and Applications, 2019

2018
Robust gain-scheduled linear parameter-varying control algorithm for a lab helicopter: A linear matrix inequality-based approach.
J. Syst. Control. Eng., 2018

Data-Free/Data-Sparse Softmax Parameter Estimation with Structured Class Geometries.
CoRR, 2018

Hand Written Characters Recognition via Deep Metric Learning.
Proceedings of the 13th IAPR International Workshop on Document Analysis Systems, 2018

2017
Line-of-Sight Millimeter-Wave Communications Using Orbital Angular Momentum Multiplexing Combined With Conventional Spatial Multiplexing.
IEEE Trans. Wirel. Commun., 2017

Super twisting sliding mode control algorithm for developing artificial pancreas in type 1 diabetes patients.
Biomed. Signal Process. Control., 2017

2016
The 2015 AAAI Fall Symposium Series Reports.
AI Mag., 2016

Demonstration of OAM-based MIMO FSO link using spatial diversity and MIMO equalization for turbulence mitigation.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Experimental demonstration of phase-sensitive regeneration of a 10-20 Gb/s BPSK channel without a phase-locked loop using Brillouin amplification.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

A dual-channel 60 GHz communications link using patch antenna arrays to generate data-carrying orbital-angular-momentum beams.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

32-Gbit/s 60-GHz millimeter-wave wireless communication using orbital angular momentum and polarization multiplexing.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

Tunable generation and angular steering of a millimeter-wave orbital-angular-momentum beam using differential time delays in a circular antenna array.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

2015
Aging Adaption in Integrated Circuits Using a Novel Built-In Sensor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

400-Gbit/s free-space optical communications link over 120-meter using multiplexing of 4 collocated orbital-angular-momentum beams.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

Performance enhancement of an orbital-angular-momentum-based free-space optical communication link through beam divergence controlling.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

LBIST pattern reduction by learning ATPG test cube properties.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

A 2D mapping spy robot worldwide auto and manually controllable for surveillance features.
Proceedings of the 2015 IEEE International Conference on Control System, 2015

Experimental demonstration of 16-Gbit/s millimeter-wave communications link using thin metamaterial plates to generate data-carrying orbital-angular-momentum beams.
Proceedings of the 2015 IEEE International Conference on Communications, 2015

Experimental measurements of multipath-induced intra- and inter-channel crosstalk effects in a millimeter-wave communications link using orbital-angular-momentum multiplexing.
Proceedings of the 2015 IEEE International Conference on Communications, 2015

Exploiting the unique intensity gradient of an orbital-angular-momentum beam for accurate receiver alignment monitoring in a free-space communication link.
Proceedings of the European Conference on Optical Communication, 2015

Dividing and multiplying the mode order for orbital-angular-momentum beams.
Proceedings of the European Conference on Optical Communication, 2015

Predictive LBIST model and partial ATPG for seed extraction.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Performance Metrics and Design Parameters for a Free-space Communication Link Based on Multiplexing of Multiple Orbital-Angular-Momentum Beams.
CoRR, 2014

Experiment turbulence compensation of 50-Gbaud/s orbital-angular-momentum QPSK signals using intensity-only based SPGD algorithm.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Conditionally factorized DDF for general distributed Bayesian estimation.
Proceedings of the International Conference on Multisensor Fusion and Information Integration for Intelligent Systems, 2014

Demonstration of 8-mode 32-Gbit/s millimeter-wave free-space communication link using 4 orbital-angular-momentum modes on 2 polarizations.
Proceedings of the IEEE International Conference on Communications, 2014

Performance metrics and design parameters for an FSO communications link based on multiplexing of multiple orbital-angular-momentum beams.
Proceedings of the 2014 IEEE GLOBECOM Workshops, Austin, TX, USA, December 8-12, 2014, 2014

Experimental demonstration of 16 Gbit/s millimeter-wave communications using MIMO processing of 2 OAM modes on each of two transmitter/receiver antenna apertures.
Proceedings of the IEEE Global Communications Conference, 2014

Experimental demonstration of obstruction-tolerant free-space transmission of two 50-Gbaud QPSK data channels using Bessel beams carrying orbital angular momentum.
Proceedings of the European Conference on Optical Communication, 2014

Faster-than-at-Speed Test for Screening Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Information management and quality of palliative care in general practices: Secondary analysis of a UK study.
J. Inf. Sci., 2013

Reconfigurable orbital-angular-momentum-based switching among multiple 100-Gbit/s data channels.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Orbital-angular-momentum-based reconfigurable and "lossless" optical add/drop multiplexing of multiple 100-Gbit/s channels.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

100 Tbit/s free-space data link using orbital angular momentum mode division multiplexing combined with wavelength division multiplexing.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Analysis of aperture size for partially receiving and de-multiplexing 100-Gbit/s optical orbital angular momentum channels over free-space link.
Proceedings of the Workshops Proceedings of the Global Communications Conference, 2013

2012
Radic: A standard-cell-based sensor for on-chip aging and flip-flop metastability measurements.
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
Low-cost diagnostic pattern generation and evaluation procedures for noise-related failures.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

2010
A Comprehensive Analysis of Transition Fault Coverage and Test Power Dissipation for Launch-Off-Shift and Launch-Off-Capture Schemes.
J. Low Power Electron., 2010

A Novel IR-Drop Tolerant Transition Delay Fault Test Pattern Generation Procedure.
J. Low Power Electron., 2010

Electronic Postcompensation of Fiber Nonlinearity for 40 Gbit∕s WDM Systems.
JOCN, 2010

Is test power reduction through X-filling good enough?
Proceedings of the 2011 IEEE International Test Conference, 2010

Pattern grading for testing critical paths considering power supply noise and crosstalk using a layout-aware quality metric.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2009
A Novel Faster-Than-at-Speed Transition-Delay Test Method Considering IR-Drop Effects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

2008
Low-Transition Test Pattern Generation for BIST-Based Applications.
IEEE Trans. Computers, 2008

Improve detection performance of speech recognizer in an automotive environment.
Proceedings of the 42nd Asilomar Conference on Signals, Systems and Computers, 2008

2007
Local At-Speed Scan Enable Generation for Transition Fault Testing Using Low-Cost Testers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Steering Control Algorithm for Drift-Free Control Systems using Model Decomposition: a wheeled Mobile robot of Type (1, 1) Example.
Int. J. Robotics Autom., 2007

Dimensionally reduced Krylov subspace model reduction for large scale systems.
Appl. Math. Comput., 2007

Supply Voltage Noise Aware ATPG for Transition Delay Faults.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design.
Proceedings of the 44th Design Automation Conference, 2007

2006
Improving Transition Delay Test Using a Hybrid Method.
IEEE Des. Test Comput., 2006

A novel framework for faster-than-at-speed delay test considering IR-drop effects.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Timing-based delay test for screening small delay defects.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Pattern Generation and Estimation for Power Supply Noise Analysis.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

At-Speed Transition Fault Testing With Low Speed Scan Enable.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Enhanced launch-off-capture transition fault testing.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

Low Transition LFSR for BIST-Based Applications.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Testing SoC interconnects for signal integrity using extended JTAG architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Frequency driven repeater insertion for deep submicron.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Low power pattern generation for BIST architecture.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Testing SoC Interconnects for Signal Integrity Using Boundary Scan.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Extending JTAG for Testing Signal Integrity in SoCs.
Proceedings of the 2003 Design, 2003


  Loading...