Kohei Miyase

According to our database1, Kohei Miyase authored at least 77 papers between 2001 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Fine-Grained Built-In Self-Repair Techniques for NAND Flash Memories.
Proceedings of the IEEE International Test Conference, 2022

A Practical Online Error Detection Method for Functional Safety Using Three-Site Implications.
Proceedings of the IEEE International Test Conference, 2022

Effective Switching Probability Calculation to Locate Hotspots in Logic Circuits.
Proceedings of the IEEE International Test Conference in Asia, 2022

Fault Resilience Techniques for Flash Memory of DNN Accelerators.
Proceedings of the IEEE International Test Conference in Asia, 2022

2021
On the Efficacy of Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption.
IEICE Trans. Inf. Syst., 2021

Fault-Aware Dependability Enhancement Techniques for Phase Change Memory.
J. Electron. Test., 2021

2020
Design of a Triple-Node-Upset Self-Recoverable Latch for Aerospace Applications in Harsh Radiation Environments.
IEEE Trans. Aerosp. Electron. Syst., 2020

Analyzing Running Form with Acceleration Sensor.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020

2019
Retention-Aware Refresh Techniques for Reducing Power and Mitigation of Data Retention Faults in DRAM.
J. Electron. Test., 2019

A Static Method for Analyzing Hotspot Distribution on the LSI.
Proceedings of the IEEE International Test Conference in Asia, 2019

2018
Adaptive ECC Techniques for Reliability and Yield Enhancement of Phase Change Memory.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Clock-Skew-Aware Scan Chain Grouping for Mitigating Shift Timing Failures in Low-Power Scan Testing.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

Progressive ECC Techniques for Phase Change Memory.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

2017
Analysis and mitigation or IR-Drop induced scan shift-errors.
Proceedings of the IEEE International Test Conference, 2017

Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
Logic-Path-and-Clock-Path-Aware At-Speed Scan Test Generation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

SAT-based post-processing for regional capture power reduction in at-speed scan test generation.
Proceedings of the 21th IEEE European Test Symposium, 2016

On Optimal Power-Aware Path Sensitization.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Formal Test Point Insertion for Region-based Low-Capture-Power Compact At-Speed Scan Test.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
A soft-error tolerant TCAM using partial don't-care keys.
Proceedings of the 20th IEEE European Test Symposium, 2015

Identification of high power consuming areas with gate type and logic level information.
Proceedings of the 20th IEEE European Test Symposium, 2015

Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST.
IEICE Trans. Inf. Syst., 2014

Soft-error tolerant TCAMs for high-reliability packet classifications.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Scan-Out Power Reduction for Logic BIST.
IEICE Trans. Inf. Syst., 2013

A Capture-Safety Checking Metric Based on Transition-Time-Relation for At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2013

LCTI-SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing.
IEEE Des. Test, 2013

On Guaranteeing Capture Safety in At-Speed Scan Testing with Broadcast-Scan-Based Test Compression.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Search Space Reduction for Low-Power Test Generation.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
A novel capture-safety checking method for multi-clock designs and accuracy evaluation with delay capture circuits.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

On pinpoint capture power management in at-speed scan test generation.
Proceedings of the 2012 IEEE International Test Conference, 2012

A Scan-Out Power Reduction Method for Multi-cycle BIST.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

Low Power BIST for Scan-Shift and Capture Power.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
A GA-Based <i>X</i>-Filling for Reducing Launch Switching Activity toward Specific Objectives in At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2011

Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing.
IEICE Trans. Inf. Syst., 2011

Power-aware test generation with guaranteed launch safety for at-speed scan testing.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

A novel scan segmentation design method for avoiding shift timing failure in scan testing.
Proceedings of the 2011 IEEE International Test Conference, 2011

SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Transition-Time-Relation based capture-safety checking for at-speed scan test generation.
Proceedings of the Design, Automation and Test in Europe, 2011

Effective Launch-to-Capture Power Reduction for LOS Scheme with Adjacent-Probability-Based X-Filling.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Efficient BDD-based Fault Simulation in Presence of Unknown Values.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Power-Aware Test Pattern Generation for At-Speed LOS Testing.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
On Delay Test Quality for Test Cubes.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

A Study of Capture-Safe Test Generation Flow for At-Speed Testing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme.
IEICE Trans. Inf. Syst., 2010

Is test power reduction through X-filling good enough?
Proceedings of the 2011 IEEE International Test Conference, 2010

On estimation of NBTI-Induced delay degradation.
Proceedings of the 15th European Test Symposium, 2010

2009
Power Supply Noise Reduction for At-Speed Scan Testing in Linear-Decompression Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A GA-Based Method for High-Quality X-Filling to Reduce Launch Switching Activity in At-speed Scan Testing.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

CAT: A Critical-Area-Targeted Test Set Modification Scheme for Reducing Launch Switching Activity in At-Speed Scan Testing.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
A Novel Per-Test Fault Diagnosis Method Based on the Extended <i>X</i>-Fault Model for Deep-Submicron LSI Circuits.
IEICE Trans. Inf. Syst., 2008

On Detection of Bridge Defects with Stuck-at Tests.
IEICE Trans. Inf. Syst., 2008

Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing.
J. Electron. Test., 2008

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing.
Proceedings of the 2008 IEEE International Test Conference, 2008

Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A Capture-Safe Test Generation Scheme for At-Speed Scan Testing.
Proceedings of the 13th European Test Symposium, 2008

Diagnosis of Realistic Defects Based on the X-Fault Model.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
A Novel ATPG Method for Capture Power Reduction during Scan Testing.
IEICE Trans. Inf. Syst., 2007

A novel scheme to reduce power supply noise for high-quality at-speed scan testing.
Proceedings of the 2007 IEEE International Test Conference, 2007

Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing.
Proceedings of the 44th Design Automation Conference, 2007

2006
A Per-Test Fault Diagnosis Method Based on the <i>X</i>-Fault Model.
IEICE Trans. Inf. Syst., 2006

A New ATPG Method for Efficient Capture Power Reduction During Scan Testing.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

2005
Efficient Test Set Modification for Capture Power Reduction.
J. Low Power Electron., 2005

On Improving Defect Coverage of Stuck-at Fault Tests.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
XID: Don't care identification of test patterns for combinational circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Don't Care Identification and Statistical Encoding for Test Data Compression.
IEICE Trans. Inf. Syst., 2004

Multiple Scan Tree Design with Test Vector Modification.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
On test data volume reduction for multiple scan chain designs.
ACM Trans. Design Autom. Electr. Syst., 2003

Optimal Scan Tree Construction with Test Vector Modification for Test Compression.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
Test Vector Modification for Power Reduction during Scan Testing.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Don't-Care Identification on Specific Bits of Test Patterns.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

A Method of Static Test Compaction Based on Don't Care Identification.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

Test Data Compression Using Don?t-Care Identification and Statistical Encoding.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
On Identifying Don't Care Inputs of Test Patterns for Combinational Circuits.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001


  Loading...