Noel Menezes

According to our database1, Noel Menezes authored at least 25 papers between 1993 and 2019.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Session details: Keynote.
Proceedings of the 2019 International Symposium on Physical Design, 2019

2008
A "true" electrical cell model for timing, noise, and power grid verification.
Proceedings of the 45th Design Automation Conference, 2008

2007
A Yield Model for Integrated Circuits and its Application to Statistical Timing Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

The good, the bad, and the statistical.
Proceedings of the 2007 International Symposium on Physical Design, 2007

A nonlinear cell macromodel for digital applications.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Comparative Analysis of Conventional and Statistical Design Techniques.
Proceedings of the 44th Design Automation Conference, 2007

2006
A multi-port current source model for multiple-input switching effects in CMOS library cells.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Variability modeling and variability-aware design in deep submicron integrated circuits.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Statistical static timing analysis: how simple can we get?
Proceedings of the 42nd Design Automation Conference, 2005

2004
Repeater scaling and its impact on CAD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Statistical timing analysis based on a timing yield model.
Proceedings of the 41th Design Automation Conference, 2004

2003
The scaling challenge: can correct-by-construction design help?
Proceedings of the 2003 International Symposium on Physical Design, 2003

2001
Optimization and Analysis Techniques for the Deep Submicron Regime.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

1999
Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching.
Proceedings of the 36th Conference on Design Automation, 1999

1997
Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A sequential quadratic programming approach to concurrent gate and wire sizing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Clustering and Load Balancing for Buffered Clock Tree Synthesis.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

1996
Post-processing of clock trees via wiresizing and buffering for robust design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Performance computation for precharacterized CMOS gates with RC loads.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

1995
Simultaneous Gate and Interconnect Sizing for Circuit-Level Delay Optimization.
Proceedings of the 32st Conference on Design Automation, 1995

1994
RC interconnect synthesis-a moment fitting approach.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Predicting Circuit Performance Using Circuit-level Statistical Timing Analysis.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

A Gate-Delay Model for high-Speed CMOS Circuits.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization.
Proceedings of the 30th Design Automation Conference. Dallas, 1993


  Loading...