Fei Sun

This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.

Bibliography

2024
A high-order time discretizing block-centered finite difference method for compressible wormhole propagation.
Appl. Math. Lett., March, 2024

2023
The platform's store-brand supplier selection and quality information provision decisions.
Inf. Manag., December, 2023

Disclosure of quality preference-revealing information in a supply chain with competitive products.
Ann. Oper. Res., October, 2023

Big-Volume SliceGAN for Improving a Synthetic 3D Microstructure Image of Additive-Manufactured TYPE 316L Steel.
J. Imaging, 2023

Radiation-hardened 14T SRAM cell by polar design for space applications.
IEICE Electron. Express, 2023

Generative AI Beyond LLMs: System Implications of Multi-Modal Generation.
CoRR, 2023

EfficientSAM: Leveraged Masked Image Pretraining for Efficient Segment Anything.
CoRR, 2023

A Large Language Model Enhanced Conversational Recommender System.
CoRR, 2023

Mixture-of-Supernets: Improving Weight-Sharing Supernet Training with Architecture-Routed Mixture-of-Experts.
CoRR, 2023

Rethinking GNN-based Entity Alignment on Heterogeneous Knowledge Graphs: New Datasets and A New Method.
CoRR, 2023

Exploration on the Construction of Road Engineering Base Integrating Industry and Education to Meet the Demand of New Engineering - A Case Study of Building Sharing Platforms for Smart Highway with Integration of Industry and Education.
Proceedings of the 2023 8th International Conference on Distance Education and Learning, 2023

Reimagining Robots for Dementia: From Robots for Care-receivers/giver to Robots for Carepartners.
Proceedings of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, 2023

ReFSQL: A Retrieval-Augmentation Framework for Text-to-SQL Generation.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2023, 2023

2022
Side-Channel Security Analysis of Connected Vehicle Communications Using Hidden Markov Models.
IEEE Trans. Intell. Transp. Syst., 2022

Defect Detection for a Vertical Shaft Surface Based on Multimodal Sensors.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2022

High-Frequency Vibration Analysis of Piezoelectric Array Sensor under Lateral-Field-Excitation Based on Crystals with 3 m Point Group.
Sensors, 2022

Hierarchical fusion and divergent activation based weakly supervised learning for object detection from remote sensing images.
Inf. Fusion, 2022

MILAN: Masked Image Pretraining on Language Assisted Representation.
CoRR, 2022

Product Classification With the Motivation of Target Consumers by Deep Learning.
IEEE Access, 2022

184QPS/W 64Mb/mm<sup>2</sup>3D Logic-to-DRAM Hybrid Bonding with Process-Near-Memory Engine for Recommendation System.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Neural Re-ranking in Multi-stage Recommender Systems: A Review.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Compact Multi-level Sparse Neural Networks with Input Independent Dynamic Rerouting.
Proceedings of the 34th IEEE International Conference on Tools with Artificial Intelligence, 2022

Effective Model Sparsification by Scheduled Grow-and-Prune Methods.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Study on the Deep Learning Product Classification Based on the Motivation of Consumers.
Proceedings of the HCI International 2022 Posters, 2022

Shfl-BW: accelerating deep neural network inference with tensor-core aware weight pruning.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

CHEX: CHannel EXploration for CNN Model Compression.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

A one-for-all and <i>o</i>(<i>v</i> log(<i>v</i> ))-cost solution for parallel merge style operations on sorted key-value arrays.
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022

Multi-Scale Distribution Deep Variational Autoencoder for Explanation Generation.
Proceedings of the Findings of the Association for Computational Linguistics: ACL 2022, 2022

2021
Load-balanced Gather-scatter Patterns for Sparse Deep Neural Networks.
CoRR, 2021

Availability analysis of a permissioned blockchain with a lightweight consensus protocol.
Comput. Secur., 2021

Complex Risk Statistics with Scenario Analysis.
Complex., 2021

Graph Attention Collaborative Similarity Embedding for Recommender System.
Proceedings of the Database Systems for Advanced Applications, 2021

Research on the Intelligent Information Platform Construction of Petroleum Engineering.
Proceedings of the AIAM 2021: 3rd International Conference on Artificial Intelligence and Advanced Manufacture, Manchester, United Kingdom, October 23, 2021

2020
An Impartial Semi-Supervised Learning Strategy for Imbalanced Classification on VHR Images.
Sensors, 2020

Joint Design of Access Point Selection and Path Planning for UAV-Assisted Cellular Networks.
IEEE Internet Things J., 2020

UAV-enabled computation migration for complex missions: A reinforcement learning approach.
IET Commun., 2020

CLEAR: Contrastive Learning for Sentence Representation.
CoRR, 2020

NGAT4Rec: Neighbor-Aware Graph Attention Network For Recommendation.
CoRR, 2020

Computation on Sparse Neural Networks: an Inspiration for Future Hardware.
CoRR, 2020

A Unified DNN Weight Compression Framework Using Reweighted Optimization Methods.
CoRR, 2020

Skeleton Based Action Recognition using a Stacked Denoising Autoencoder with Constraints of Privileged Information.
CoRR, 2020

Image Retrieval Using a Deep Attention-Based Hash.
IEEE Access, 2020


SPSN: Seed Point Selection Network in Point Cloud Instance Segmentation.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

Re-think Data Management Software Design Upon the Arrival of Storage Hardware with Built-in Transparent Compression.
Proceedings of the 12th USENIX Workshop on Hot Topics in Storage and File Systems, 2020

INVITED: Computation on Sparse Neural Networks and its Implications for Future Hardware.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Learning in the Frequency Domain.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Machine Learning on Small UAVs.
Proceedings of the 49th IEEE Applied Imagery Pattern Recognition Workshop, 2020

2019
ACAP1 assembles into an unusual protein lattice for membrane deformation through multiple stages.
PLoS Comput. Biol., 2019

Efficiency of Extreme Gradient Boosting for Imbalanced Land Cover Classification Using an Extended Margin and Disagreement Performance.
ISPRS Int. J. Geo Inf., 2019

Low-Power Computer Vision: Status, Challenges, and Opportunities.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Low-Power Computer Vision: Status, Challenges, Opportunities.
CoRR, 2019

PIXER: an automated particle-selection method based on segmentation using a deep neural network.
BMC Bioinform., 2019

AuTom-dualx: a toolkit for fully automatic fiducial marker-based alignment of dual-axis tilt series with simultaneous reconstruction.
Bioinform., 2019

Machine Learning at Facebook: Understanding Inference at the Edge.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

FBNet: Hardware-Aware Efficient ConvNet Design via Differentiable Neural Architecture Search.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

ChamNet: Towards Efficient Network Design Through Platform-Aware Model Adaptation.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

Special Session: 2018 Low-Power Image Recognition Challenge and Beyond.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
Equilibriums in the Mobile-Virtual-Network-Operator-Oriented Data Offloading.
IEEE Trans. Veh. Technol., 2018

Cooperative Task Scheduling for Computation Offloading in Vehicular Cloud.
IEEE Trans. Veh. Technol., 2018

Evaluating the Performance of Sentinel-2, Landsat 8 and Pléiades-1 in Mapping Mangrove Extent and Species.
Remote. Sens., 2018

ICON-MIC: Implementing a CPU/MIC Collaboration Parallel Framework for ICON on Tianhe-2 Supercomputer.
J. Comput. Biol., 2018

DOA estimation based on sparse representation of the fractional lower order statistics in impulsive noise.
IEEE CAA J. Autom. Sinica, 2018

2018 Low-Power Image Recognition Challenge.
CoRR, 2018

3μm Silicon Photonics.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Reinforcement Learning Based Computation Migration for Vehicular Cloud Computing.
Proceedings of the IEEE Global Communications Conference, 2018

2017
Uncovering Distribution Patterns of High Performance Taxis from Big Trace Data.
ISPRS Int. J. Geo Inf., 2017

Load Balancing Oriented Computation Offloading in Mobile Cloudlet.
Proceedings of the 86th IEEE Vehicular Technology Conference, 2017

Task Flow Based Spatial Information Network Resource Scheduling.
Proceedings of the Space Information Networks - Second International Conference, 2017

Low-loss and polarization-insensitive photonic integrated circuit based on micron-scale SOI platform for high density TDM PONs.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Impact of DFIG-based wind farms on generator distance phase backup protection.
Proceedings of the 2017 IEEE PES Innovative Smart Grid Technologies Conference Europe, 2017

Accelerating Electron Tomography Reconstruction Algorithm ICON Using the Intel Xeon Phi Coprocessor on Tianhe-2 Supercomputer.
Proceedings of the Bioinformatics Research and Applications - 13th International Symposium, 2017

A Fully Automatic Geometric Parameters Determining Method for Electron Tomography.
Proceedings of the Bioinformatics Research and Applications - 13th International Symposium, 2017

A caching-based incentive mechanism for cooperative data offloading.
Proceedings of the 2017 IEEE International Conference on Communications Workshops, 2017

A cluster-scalable VLIW cryptography processor with high performance and energy efficiency.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A QoE centric distributed caching approach for vehicular video streaming in cellular networks.
Wirel. Commun. Mob. Comput., 2016

A network Kernel Density Estimation for linear features in space-time analysis of big trace data.
Int. J. Geogr. Inf. Sci., 2016

Web Based Teleoperation of a Humanoid Robot.
CoRR, 2016

Using quality by design and quality risk management principles to aid the development of a high shear wet granulation process.
Proceedings of the 2016 International Conference on Intelligent Information Processing, 2016

Cournot equilibrium in the mobile virtual network operator oriented oligopoly offloading market.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

ARDock: A Web-AR Based Real-Time Tangible Edugame for Molecular Docking.
Proceedings of the E-Learning and Games - 10th International Conference, 2016

2015
Application of TOPO to the Multistage Batch Process Optimization of Gardenia Extracts.
Proceedings of the Intelligence Science and Big Data Engineering. Big Data and Machine Learning Techniques, 2015

Engineering Link Utilization in Cellular Offloading Oriented VANETs.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

2014
Nonlinear observer design for state estimation during antilock braking.
J. Syst. Control. Eng., 2014

A QoE supportive distributed caching management for vehicular video streaming in cellular networks.
Proceedings of the 2014 IEEE/CIC International Conference on Communications in China, 2014

Efficient control synthesis for augmented finite transition systems with an application to switching protocols.
Proceedings of the American Control Conference, 2014

2013
Using Multilevel Phase Change Memory to Build Data Storage: A Time-Aware System Design Perspective.
IEEE Trans. Computers, 2013

Optimum Quantization for Binary Hypothesis Testing.
IEEE Commun. Lett., 2013

A fast calculation strategy of density function in ISAF reconstruction algorithm.
Sci. China Inf. Sci., 2013

Bounds on performance of UWB TOA estimation using finite resolution quantization.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013

A Low Complexity UWB Localization Algorithm Using Finite-Resolution Quantization.
Proceedings of the 77th IEEE Vehicular Technology Conference, 2013

We Know What You Are-A User Classification Based on Mobile Data.
Proceedings of the 2013 IEEE International Conference on Green Computing and Communications (GreenCom) and IEEE Internet of Things (iThings) and IEEE Cyber, 2013

What We Use to Predict a Mobile-Phone Users' Status in Campus?
Proceedings of the 16th IEEE International Conference on Computational Science and Engineering, 2013

Best polarity searching for ternary FPRM logic circuit area based on whole annealing genetic algorithm.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
An Accelerated ISAF Algorithm with the Fast Mapping Strategy.
J. Comput., 2012

Finite-Resolution Digital Receiver for UWB TOA Estimation.
IEEE Commun. Lett., 2012

A holistic approach to decentralized structural damage localization using wireless sensor networks.
Comput. Commun., 2012

A nonparametric IR-UWB TOA estimator based on conditional tests.
Proceedings of the IEEE International Conference on Ultra-Wideband, 2012

Automatic generation of functional models for embedded processor extensions.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Interference microscopy volume illustration for biomedical data.
Proceedings of the 2012 IEEE Pacific Visualization Symposium, 2012

2011
Ranging Implementation Using Finite-Resolution Digital Receiver for IEEE 802.15.4a Systems.
Proceedings of the 74th IEEE Vehicular Technology Conference, 2011

A new 12 DOF biped robot's mechanical design and kinematic analysis.
Proceedings of the International Conference on Electronic and Mechanical Engineering and Information Technology, 2011

A fast mapping method in the ISAF reconstruction algorithm.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

2008
Energy-efficient soft-output trellis decoder design using trellis quasi-reduction and importance-aware clock skew scheduling.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
Trellis detector/decoder VLSI architectures for data communication and storage systems.
PhD thesis, 2007

Low-Power State-Parallel Relaxed Adaptive Viterbi Decoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

A Synthesis Methodology for Hybrid Custom Instruction and Coprocessor Generation for Extensible Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Design of on-chip error correction systems for multilevel NOR and NAND flash memories.
IET Circuits Devices Syst., 2007

sChat: a group communication service over wireless sensor networks.
Proceedings of the 6th International Conference on Information Processing in Sensor Networks, 2007

2006
A Scalable Synthesis Methodology for Application-Specific Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Application-specific heterogeneous multiprocessor synthesis using extensible processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Hybrid Custom Instruction and Co-Processor Synthesis Methodology for Extensible Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Low power state-parallel relaxed adaptive Viterbi decoder design and implementation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Multilevel flash memory on-chip error correction based on trellis coded modulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Nonlinear Soft-Output Signal Detector Design and Implementation for MIMO Communication Systems with High Spectral Efficiency.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
Parallel high-throughput limited search trellis decoder VLSI design.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

2004
Custom-instruction synthesis for extensible-processor platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

2003
A Scalable Application-Specific Processor Synthesis Methodology.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

2002
Synthesis of custom processors based on extensible platforms.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002


  Loading...