Qiaoyan Yu

Orcid: 0000-0002-7232-8529

According to our database1, Qiaoyan Yu authored at least 101 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
INEAD: Intermediate Node Evaluation-Based Attack Detection for Secure Approximate Computing Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

2023
Hardware Security Risks and Threat Analyses in Advanced Manufacturing Industry.
ACM Trans. Design Autom. Electr. Syst., September, 2023

Securing Approximate Computing Systems via Obfuscating Approximate-Precise Boundary.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

A Circuit-Level Solution for Secure Temperature Sensor.
Sensors, 2023

Supply reliability analysis of natural gas pipeline network based on demand-side economic loss risk.
Reliab. Eng. Syst. Saf., 2023

Attack-Resilient Temperature Sensor Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

AHD-LAM: A New Mitigation Method against Voltage-Drop Attacks in Multi-tenant FPGAs.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

A Hybrid Neural Network for Simultaneous Multi-Attack Detection in Sensor Networks.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
DPReDO: Dynamic Partial Reconfiguration enabled Design Obfuscation for FPGA Security.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Challenges of Securing Low-Power LoRaWAN Devices Deployed in Advanced Manufacturing.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Security Threats and Countermeasure Deployment Using Partial Reconfiguration in FPGA CAD Tools.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

Session details: Session 6A: Special Session -1: Machine Learning and Hardware Attacks.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Hardware Security in Advanced Manufacturing.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Optimal pressure sensor locations in smart insoles for heel-strike and toe-off detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Towards Securing Approximate Computing Systems: Security Threats and Attack Mitigation.
Proceedings of the Approximate Computing, 2022

2021
Security Threat Analyses and Attack Models for Approximate Computing Systems: From Hardware and Micro-architecture Perspectives.
ACM Trans. Design Autom. Electr. Syst., 2021

Explainable fault diagnosis of gas-liquid separator based on fully convolutional neural network.
Comput. Chem. Eng., 2021

Boosting SMT solver performance on mixed-bitwise-arithmetic expressions.
Proceedings of the PLDI '21: 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2021

Towards Enhancing Power-Analysis Attack Resilience for Logic Locking Techniques.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

New Security Threats on FPGAs: From FPGA Design Tools Perspective.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Analysis of Attack Surfaces and Practical Attack Examples in Open Source FPGA CAD Tools.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Assessing Correlation Power Analysis (CPA) Attack Resilience of Transistor-Level Logic Locking.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

2020
Guest Editor's Introduction: Special Section on Reliability-Aware Design and Analysis Methods for Digital Systems: From Gate to System Level.
IEEE Trans. Emerg. Top. Comput., 2020

Comprehensive Analysis on Hardware Trojans in 3D ICs: Characterization and Experimental Impact Assessment.
SN Comput. Sci., 2020

Improving power analysis attack resistance using intrinsic noise in 3D ICs.
Integr., 2020

FTAI: Frequency-based Trojan-Activity Identification Method for 3D Integrated Circuits.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

ADobf: Obfuscated Detection Method against Analog Trojans on I<sup>2</sup>C Master-Slave Interface.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Invariance Checking Based Trojan Detection Method for Three-Dimensional Integrated Circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Can We Securely Use Approximate Computing?
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

New Replay Attacks on ZigBee Devices for Internet-of-Things (IoT) Applications.
Proceedings of the 2020 IEEE International Conference on Embedded Software and Systems, 2020

Blurring Boundaries: A New Way to Secure Approximate Computing Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Security Threats and Countermeasures for Approximate Arithmetic Computing.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
A 0.1-pJ/b and ACF <0.04 Multiple-Valued PUF for Chip Identification Using Bit-Line Sharing Strategy in 65-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Thwarting Security Threats From Malicious FPGA Tools With Novel FPGA-Oriented Moving Target Defense.
IEEE Trans. Very Large Scale Integr. Syst., 2019

SRASA: a Generalized Theoretical Framework for Security and Reliability Analysis in Computing Systems.
J. Hardw. Syst. Secur., 2019

Modeling Hardware Trojans in 3D ICs.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Emerging Applications of 3D Integration and Approximate Computing in High-Performance Computing Systems: Unique Security Vulnerabilities.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

Security Threats in Approximate Computing Systems.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

An Orthogonal Algorithm for Key Management in Hardware Obfuscation.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

2018
Hardware-Efficient Logic Camouflaging for Monolithic 3-D ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Novel Dynamic State-Deflection Method for Gate-Level Design Obfuscation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

An ultra-low power multiplier using multi-valued adiabatic logic in 65 nm CMOS process.
Microelectron. J., 2018

Exploiting Principle of Moving Target Defense to Secure FPGA Systems.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Investigating Reliability and Security of Integrated Circuits and Systems.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Securing FPGA-based obsolete component replacement for legacy systems.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

FPGA-oriented moving target defense against security threats from malicious FPGA tools.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

A Survey on Energy Efficiency Techniques for Secure Computing Systems.
Proceedings of the Ninth International Green and Sustainable Computing Conference, 2018

Exploiting PDN noise to thwart correlation power analysis attacks in 3D ICs.
Proceedings of the 20th System Level Interconnect Prediction Workshop, 2018

2017
A hardened network-on-chip design using runtime hardware Trojan mitigation methods.
Integr., 2017

Exploiting hardware obfuscation methods to prevent and detect hardware Trojans.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A low-cost masquerade and replay attack detection method for CAN in automobiles.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Analyzing security vulnerabilities of three-dimensional integrated circuits.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

Mitigating Control Flow Attacks in Embedded Systems with Novel Built-in Secure Register Bank.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Impact of Power Distribution Network on Power Analysis Attacks in Three-Dimensional Integrated Circuits.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Security Threats and Countermeasures in Three-Dimensional Integrated Circuits.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Welcome Message.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

2016
A Comprehensive FPGA-Based Assessment on Fault-Resistant AES against Correlation Power Analysis Attack.
J. Electron. Test., 2016

PSS4: Four-Phase Shifted Sinusoid Symbol Signaling for High Speed I/O interconnects.
Comput. Electr. Eng., 2016

DSD: A Dynamic State-Deflection Method for Gate-Level Netlist Obfuscation.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Hardware security assurance in emerging IoT applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Transistor-level camouflaged logic locking method for monolithic 3D IC security.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

Hardware Security Threats and Potential Countermeasures in Emerging 3D ICs.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Foreword.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

Assessing CPA resistance of AES with different fault tolerance mechanisms.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Strengthening SIMON Implementation Against Intelligent Fault Attacks.
IEEE Embed. Syst. Lett., 2015

Exploiting state obfuscation to detect hardware trojans in NoC network interfaces.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Investigating power characteristics of memristor-based logic gates and their applications in a security primitive.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Fault-tolerant methods for a new lightweight cipher SIMON.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Investigation of single-event upsets in dynamic logic based flip-flops.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

IntelliCAN: Attack-resilient Controller Area Network (CAN) for secure automobiles.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Advanced VLSI Architecture Design for Emerging Digital Systems.
VLSI Design, 2014

Efficient Hardware Trojan Detection with Differential Cascade Voltage Switch Logic.
VLSI Design, 2014

A New Analytical Model of SET Latching Probability for Circuits Experiencing Single- or Multiple-Cycle Single-Event Transients.
J. Electron. Test., 2014

Systematic analyses for latching probability of single-event transients.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

A new fault injection method for evaluation of combining SEU and SET effects on circuit reliability.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A novel signaling technique for high-speed wireline backplane transceiver: Four phase-shifted sinusoid symbol (PSS-4).
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Addressing network-on-chip router transient errors with inherent information redundancy.
ACM Trans. Embed. Comput. Syst., 2013

A fully integrated video digital-to-analog converter with minimized gain error.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Collaborative error control method for sequential logic circuits.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A novel energy-efficient serializer design method for gigascale systems.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Exploiting error control approaches for Hardware Trojans on Network-on-Chip links.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

2012
Dual-Layer Adaptive Error Control for Network-on-Chip Links.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Transient and Permanent Error Control for High-End Multiprocessor Systems-on-Chip.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Fine-grained splitting methods to address permanent errors in Network-on-Chip links.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Transient error management for partially adaptive router in network-on-chip (NoC).
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
A Dual-Layer Method for Transient and Permanent Error Co-Management in NoC Links.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

A comprehensive Networks-on-Chip simulator for error control explorations.
Proceedings of the NOCS 2011, 2011

Exploiting inherent information redundancy to manage transient errors in NoC routing arbitration.
Proceedings of the NOCS 2011, 2011

Adaptive Voltage Control for Energy-Efficient NoC Links.
Proceedings of the Low Power Networks-on-Chip., 2011

2010
A Flexible Parallel Simulator for Networks-on-Chip With Error Control.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Transient and Permanent Error Co-management Method for Reliable Networks-on-Chip.
Proceedings of the NOCS 2010, 2010

Error control integration scheme for reliable NoC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
A simulator for ballistic nanostructures in a 2-D electron gas.
ACM J. Emerg. Technol. Comput. Syst., 2009

Adaptive error control for nanometer scale network-on-chip links.
IET Comput. Digit. Tech., 2009

Dual-Layer Cooperative Error Control for Reliable Nanoscale Networks-on-Chip.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

2008
Configurable error correction for multi-wire errors in switch-to-switch SOC links.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

Adaptive error control for reliable systems-on-chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Adaptive Error Control for NoC Switch-to-Switch Links in a Variable Noise Environment.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

2007
A ballistic nanoelectronic device simulator.
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007

2006
Cell Ratio Bounds for Reliable SRAM Operation.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Energy-delay minimization in nanoscale domino logic.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006


  Loading...