Qi Guo

Orcid: 0000-0003-2530-5874

Affiliations:
  • Chinese Academy of Sciences, Institute of Computing Technology, State Key Laboratory of Computer Architecture, Beijing, China


According to our database1, Qi Guo authored at least 87 papers between 2008 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

2023
Hardware Acceleration for SLAM in Mobile Systems.
J. Comput. Sci. Technol., December, 2023

Learning controllable elements oriented representations for reinforcement learning.
Neurocomputing, September, 2023

Rescue to the Curse of universality.
Sci. China Inf. Sci., September, 2023

Emergent Communication for Rules Reasoning.
CoRR, 2023

Context Shift Reduction for Offline Meta-Reinforcement Learning.
CoRR, 2023

Efficient Symbolic Policy Learning with Differentiable Symbolic Expression.
CoRR, 2023

Self-driven Grounding: Large Language Model Agents with Automatical Language-aligned Skill Learning.
CoRR, 2023

Pushing the Limits of Machine Design: Automated CPU Design with AI.
CoRR, 2023

Flew Over Learning Trap: Learn Unlearnable Samples by Progressive Staged Training.
CoRR, 2023

Unlearnable Examples for Diffusion Models: Protect Data from Unauthorized Exploitation.
CoRR, 2023

ANPL: Compiling Natural Programs with Interactive Decomposition.
CoRR, 2023

Ultra-low Precision Multiplication-free Training for Deep Neural Networks.
CoRR, 2023

Online Symbolic Regression with Informative Query.
CoRR, 2023

Efficient Symbolic Policy Learning with Differentiable Symbolic Expression.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Cambricon-R: A Fully Fused Accelerator for Real-Time Learning of Neural Scene Representation.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Cambricon-U: A Systolic Random Increment Memory Architecture for Unary Computing.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Online Prototype Alignment for Few-shot Policy Transfer.
Proceedings of the International Conference on Machine Learning, 2023

BALTO: fast tensor program optimization with diversity-based active learning.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Heron: Automatically Constrained High-Performance Library Generation for Deep Learning Accelerators.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Conceptual Reinforcement Learning for Language-Conditioned Tasks.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

Online Symbolic Regression with Informative Query.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Enabling One-Size-Fits-All Compilation Optimization for Inference Across Machine Learning Computers.
IEEE Trans. Computers, 2022

A Systematic View of Model Leakage Risks in Deep Neural Network Systems.
IEEE Trans. Computers, 2022

Breaking the Interaction Wall: A DLPU-Centric Deep Learning Computing System.
IEEE Trans. Computers, 2022

Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
CoRR, 2022

Object-Category Aware Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Causality-driven Hierarchical Structure Discovery for Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Cambricon-P: A Bitflow Architecture for Arbitrary Precision Computing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

BabelTower: Learning to Auto-parallelized Program Translation.
Proceedings of the International Conference on Machine Learning, 2022

Neural Program Synthesis with Query.
Proceedings of the Tenth International Conference on Learning Representations, 2022

2021
Eden: A Unified Environment Framework for Booming Reinforcement Learning Algorithms.
CoRR, 2021

Space-address decoupled scratchpad memory management for neural network accelerators.
Concurr. Comput. Pract. Exp., 2021

ScaleCert: Scalable Certified Defense against Adversarial Patches with Sparse Superficial Layers.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Cambricon-Q: A Hybrid Architecture for Efficient Training.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Hindsight Value Function for Variance Reduction in Stochastic Dynamic Environment.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

2020
ParaML: A Polyvalent Multicore Accelerator for Machine Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Machine Learning Computers With Fractal von Neumann Architecture.
IEEE Trans. Computers, 2020

Addressing Irregularity in Sparse Neural Networks Through a Cooperative Software/Hardware Approach.
IEEE Trans. Computers, 2020

Self-Aware Neural Network Systems: A Survey and New Perspective.
Proc. IEEE, 2020

ALT: Optimizing Tensor Compilation in Deep Learning Compilers with Active Learning.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Fixed-Point Back-Propagation Training.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

DWM: A Decomposable Winograd Method for Convolution Acceleration.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Addressing Sparsity in Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Cambricon-F: machine learning computers with fractal von neumann architecture.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

2018
An Instruction Set Architecture for Machine Learning.
ACM Trans. Comput. Syst., 2018

BenchIP: Benchmarking Intelligence Processors.
J. Comput. Sci. Technol., 2018

Cambricon-S: Addressing Irregularity in Sparse Neural Networks through A Cooperative Software/Hardware Approach.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
Automating Characterization Deployment in Distributed Data Stream Management Systems.
IEEE Trans. Knowl. Data Eng., 2017

An Accelerator for High Efficient Vision Processing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

DLPlib: A Library for Deep Learning Processor.
J. Comput. Sci. Technol., 2017

BENCHIP: Benchmarking Intelligence Processors.
CoRR, 2017

AdaStorm: Resource Efficient Storm with Adaptive Configuration.
Proceedings of the 33rd IEEE International Conference on Data Engineering, 2017

Revisiting performance in big data systems: an resource decoupling approach.
Proceedings of the 2017 Symposium on Cloud Computing, SoCC 2017, Santa Clara, CA, USA, 2017

TuNao: A High-Performance and Energy-Efficient Reconfigurable Accelerator for Graph Processing.
Proceedings of the 17th IEEE/ACM International Symposium on Cluster, 2017

2016
Accelerating Architectural Simulation Via Statistical Techniques: A Survey.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Cambricon-X: An accelerator for sparse neural networks.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

OrientStream: A Framework for Dynamic Resource Allocation in Distributed Data Stream Management Systems.
Proceedings of the 25th ACM International Conference on Information and Knowledge Management, 2016

2015
Robust Design Space Modeling.
ACM Trans. Design Autom. Electr. Syst., 2015

Statistical Performance Comparisons of Computers.
IEEE Trans. Computers, 2015

Deterministic Replay: A Survey.
ACM Comput. Surv., 2015

Enabling portable energy efficiency with memory accelerated library.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Optimizing Space Time Adaptive Processing through accelerating memory-bounded operations.
Proceedings of the 2015 IEEE High Performance Extreme Computing Conference, 2015

Metis: a smart memory allocator using historical reclamation information.
Proceedings of the 10th Workshop on Implementation, 2015

HERMES: a fast cross-ISA binary translator with post-optimization.
Proceedings of the 13th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2015

2014
Pre-Silicon Bug Forecast.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Prevention from Soft Errors via Architecture Elasticity.
J. Comput. Sci. Technol., 2014

An Elastic Architecture Adaptable to Various Application Scenarios.
J. Comput. Sci. Technol., 2014

ArchRanker: A ranking approach to design space exploration.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

2013
Effective and efficient microprocessor design space exploration using unlabeled design configurations.
ACM Trans. Intell. Syst. Technol., 2013

Microarchitectural design space exploration made fast.
Microprocess. Microsystems, 2013

Breaking the boundary for whole-system performance optimization of big data.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

VBIW: Optimizing Indirect Branch in Dynamic Binary Translation.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Correlation-based performance analysis for full-system MapReduce optimization.
Proceedings of the 2013 IEEE International Conference on Big Data (IEEE BigData 2013), 2013

2012
An Elastic Architecture Adaptable to Millions of Application Scenarios.
Proceedings of the Network and Parallel Computing, 9th IFIP International Conference, 2012

BenchNN: On the broad potential application scope of hardware neural network accelerators.
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012

Performance Prediction for Reconfigurable Processor.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Statistical performance comparisons of computers.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

2011
Efficient Deterministic Replay Using Complete Race Detection
CoRR, 2011

Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations.
Proceedings of the IJCAI 2011, 2011

Empirical design bugs prediction for verification.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Design of Low-Cost High-Performance Floating-Point Fused Multiply-Add with Reduced Power.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Estimating design quality of digital systems via machine learning.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

On-the-Fly Reduction of Stimuli for Functional Verification.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Designing an Effective Constraint Solver in Coverage Directed Test Generation.
Proceedings of the International Conference on Embedded Software and Systems, 2009

2008
Coverage Directed Test Generation: Godson Experience.
Proceedings of the 17th IEEE Asian Test Symposium, 2008


  Loading...