Yingtao Jiang

According to our database1, Yingtao Jiang authored at least 148 papers between 2001 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Multi-scale feature fusion for histopathological image categorisation in breast cancer.
Comput. methods Biomech. Biomed. Eng. Imaging Vis., November, 2023

RUPA: A High Performance, Energy Efficient Accelerator for Rule-Based Password Generation in Heterogenous Password Recovery System.
IEEE Trans. Computers, April, 2023

Detection of Thermal Covert Channel Attacks Based on Classification of Components of the Thermal Signal Features.
IEEE Trans. Computers, April, 2023

Modeling and Analysis of Thermal Covert Channel Attacks in Many-core Systems.
IEEE Trans. Computers, February, 2023

A graphical approach for filter pruning by exploring the similarity relation between feature maps.
Pattern Recognit. Lett., February, 2023

Digitally predicting protein localization and manipulating protein activity in fluorescence images using 4D reslicing GAN.
Bioinform., January, 2023

2022
Secured Data Transmission Over Insecure Networks-on-Chip by Modulating Inter-Packet Delays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Combating Stealthy Thermal Covert Channel Attack With Its Thermal Signal Transmitted in Direct Sequence Spread Spectrum.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Detection of and Countermeasure Against Thermal Covert Channel in Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Performance Optimization of Many-Core Systems by Exploiting Task Migration and Dark Core Allocation.
IEEE Trans. Computers, 2022

On a Consistency Testing Model and Strategy for Revealing RISC Processor's Dark Instructions and Vulnerabilities.
IEEE Trans. Computers, 2022

CNN-Based Hidden-Layer Topological Structure Design and Optimization Methods for Image Classification.
Neural Process. Lett., 2022

Data streaming and traffic gathering in mesh-based NoC for deep neural network acceleration.
J. Syst. Archit., 2022

IMSC: Instruction set architecture monitor and secure cache for protecting processor systems from undocumented instructions.
IET Inf. Secur., 2022

High-Performance Password Recovery Hardware Going From GPU to Hybrid CPU-FPGA Platform.
IEEE Consumer Electron. Mag., 2022

In-Network Accumulation: Extending the Role of NoC for DNN Acceleration.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

On Evaluation of On-chip Thermal Covert Channel Attacks.
Proceedings of the International Conference on Compilers, 2022

2021
An enhanced planned obsolescence attack by aging networks-on-chip.
J. Syst. Archit., 2021

Evolution of Publications, Subjects, and Co-Authorships in Network-on-Chip Research From a Complex Network Perspective.
IEEE Access, 2021

Hardware Trojan Detection Method for Inspecting Integrated Circuits Based on Machine Learning.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Multi-class Cardiovascular Disease Detection and Classification from 12-Lead ECG Signals Using an Inception Residual Network.
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021

2020
Combating Enhanced Thermal Covert Channel in Multi-/Many-Core Systems With Channel-Aware Jamming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

On hardware-trojan-assisted power budgeting system attack targeting many core systems.
J. Syst. Archit., 2020

Wastewater discharge quality prediction using stratified sampling and wavelet de-noising ANFIS model.
Comput. Electr. Eng., 2020

A multi-scale three-dimensional face recognition approach with sparse representation-based classifier and fusion of local covariance descriptors.
Comput. Electr. Eng., 2020

Dynamic Allocation/Reallocation of Dark Cores in Many-Core Systems for Improved System Performance.
IEEE Access, 2020

Improving the Performance of a NoC-based CNN Accelerator with Gather Support.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

Efficient On-Chip Multicast Routing based on Dynamic Partition Merging.
Proceedings of the 28th Euromicro International Conference on Parallel, 2020

On Countermeasures Against the Thermal Covert Channel Attacks Targeting Many-core Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Effect of Hardware Trojan Attacks on the Performance of On-Chip Multicast Routing Algorithms.
Proceedings of the IEEE 9th Annual Computing and Communication Workshop and Conference, 2019

2018
Effectiveness of HT-assisted sinkhole and blackhole denial of service attacks targeting mesh networks-on-chip.
J. Syst. Archit., 2018

On a New Hardware Trojan Attack on Power Budgeting of Many Core Systems.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Improving the efficiency of thermal covert channels in multi-/many-core systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
An Adaptive PAM-4 Analog Equalizer With Boosting-State Detection in the Time Domain.
IEEE Trans. Very Large Scale Integr. Syst., 2017

HRC: A 3D NoC Architecture with Genuine Support for Runtime Thermal-Aware Task Management.
IEEE Trans. Computers, 2017

A Scalable Parameterized NoC Emulator Built Upon Xilinx Virtex-7 FPGA.
Proceedings of the 25th International Conference on Systems Engineering, 2017

On a cyberinfrastructure platform for multidisciplinary, data-intensive scientific research.
Proceedings of the IEEE 7th Annual Computing and Communication Workshop and Conference, 2017

Building temperature-insensitive nanoscale CMOS circuits with adaptive voltage power supplies.
Proceedings of the 30th IEEE Canadian Conference on Electrical and Computer Engineering, 2017

2016
On Fine-Grained Runtime Power Budgeting for Networks-on-Chip Systems.
IEEE Trans. Computers, 2016

An energy-efficient scheduling scheme for time-constrained tasks in local mobile clouds.
Pervasive Mob. Comput., 2016

A pareto-optimal runtime power budgeting scheme for many-core systems.
Microprocess. Microsystems, 2016

Adaptive-opportunistic Aloha: A media access control protocol for unmanned aerial vehicle-wireless sensor network systems.
Int. J. Distributed Sens. Networks, 2016

PSS4: Four-Phase Shifted Sinusoid Symbol Signaling for High Speed I/O interconnects.
Comput. Electr. Eng., 2016

Data-classification-based SNR estimation for linearly modulated signals.
Comput. Electr. Eng., 2016

2015
An efficient runtime power allocation scheme for many-core systems inspired from auction theory.
Integr., 2015

A 20 GHz high speed, low jitter, high accuracy and wide correction range duty cycle corrector.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

Real-time VLSI architecture for palm rejection using Wronskian Determinant.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Fine-grained runtime power budgeting for networks-on-chip.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation.
ACM Trans. Embed. Comput. Syst., 2014

On Reducing Insertion Loss in Wavelength-Routed Optical Network-on-Chip Architecture.
JOCN, 2014

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure.
CoRR, 2014

On high-performance parallel decimal fixed-point multiplier designs.
Comput. Electr. Eng., 2014

SoC Scan-Chain verification utilizing FPGA-based emulation platform and SCE-MI interface.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

An adaptive probabilistic scheduler for offloading time-constrained tasks in local mobile clouds.
Proceedings of the Sixth International Conference on Ubiquitous and Future Networks, 2014

Access-Pattern Aware Checkpointing Data Storage Scheme for Mobile Computing Environment.
Proceedings of the 9th International Conference on Future Networks and Communications (FNC'14) / The 11th International Conference on Mobile Systems and Pervasive Computing (MobiSPC'14) / Affiliated Workshops, 2014

Adaptive power allocation for many-core systems inspired from multiagent auction model.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Evaluating Open IaaS Cloud Platforms Based upon NIST Cloud Computing Reference Model.
Proceedings of the 17th IEEE International Conference on Computational Science and Engineering, 2014

Agile frequency scaling for adaptive power allocation in many-core systems powered by renewable energy sources.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Measurement and Calibration of Thermal Cross-Correlation-Based Flowmeter Operating in Harsh Environment.
IEEE Trans. Instrum. Meas., 2013

An efficient protocol with synchronization accelerator for multi-processor embedded systems.
Parallel Comput., 2013

Avoiding request-request type message-dependent deadlocks in networks-on-chips.
Parallel Comput., 2013

Efficient multicast schemes for 3-D Networks-on-Chip.
J. Syst. Archit., 2013

Energy Efficient Run-Time Incremental Mapping for 3-D Networks-on-Chip.
J. Comput. Sci. Technol., 2013

Wireless Sensor Networks and the Internet of Things.
Int. J. Distributed Sens. Networks, 2013

On self-tuning networks-on-chip for dynamic network-flow dominance adaptation.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

On a parallel decimal multiplier based on hybrid 8421-5421 BCD recoding.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

High level circuit synthesis with system level Statistical Static Timing Analysis under process variation.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

An Area-Time Efficient Architecture for 16 x 16 Decimal Multiplications.
Proceedings of the Tenth International Conference on Information Technology: New Generations, 2013

Flow Control Mechanism for Wireless Network-on-Chip.
Proceedings of the Tenth International Conference on Information Technology: New Generations, 2013

A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core architectures in the dark silicon era.
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013

2012
Blind source separation with time series variational Bayes expectation maximization algorithm.
Digit. Signal Process., 2012

On a joint temporal-spatial multi-channel assignment and routing scheme in resource-constrained wireless mesh networks.
Ad Hoc Networks, 2012

A hybrid chip interconnection architecture with a global wireless network overlaid on top of a wired network-on-chip.
Proceedings of the 2012 International Symposium on System on Chip, 2012

2011
Flow Rate Measurement in a High-Temperature, Radioactive, and Corrosive Environment.
IEEE Trans. Instrum. Meas., 2011

On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks.
Microprocess. Microsystems, 2011

Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Power-Aware Run-Time Incremental Mapping for 3-D Networks-on-Chip.
Proceedings of the Network and Parallel Computing - 8th IFIP International Conference, 2011

2010
A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints.
ACM Trans. Archit. Code Optim., 2010

Symmetry-aware placement algorithm using transitive closure graph representation for analog integrated circuits.
Int. J. Circuit Theory Appl., 2010

Efficient multicasting scheme for irregular mesh-based NoCs.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

An Efficient Technique for In-order Packet Delivery with Adaptive Routing Algorithms in Networks on Chip.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

2009
EECCR: An Energy-Efficient -Coverage and -Connectivity Routing Algorithm Under Border Effects in Heterogeneous Sensor Networks.
IEEE Trans. Veh. Technol., 2009

Architectures and routing schemes for optical network-on-chips.
Comput. Electr. Eng., 2009

High performance computing architectures.
Comput. Electr. Eng., 2009

A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures.
Comput. Electr. Eng., 2009

HTSMA: A Hybrid Temporal-Spatial Multi-Channel Assignment Scheme in Heterogeneous Wireless Mesh Networks.
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009

Load-Similar Node Distribution for Prolonging Network Lifetime in PMRC-Based Wireless Sensor Networks.
Proceedings of the Communication and Networking, 2009

Reconfigurable Global Network Local Bus (RGNLS): A Hybrid On-Chip Communication Architecture for Area-Efficient, Dynamically Reconfigurable SoC Designs.
Proceedings of the 2009 International Conference on Embedded Systems & Applications, 2009

Minimum Overlapping Layers and Its Variant for Prolonging Network Lifetime in PMRC-Based Wireless Sensor Networks.
Proceedings of the 6th IEEE Consumer Communications and Networking Conference, 2009

2008
Selecting critical clinical features for heart diseases diagnosis with a real-coded genetic algorithm.
Appl. Soft Comput., 2008

EECCP: an energy-efficient coverage- and connectivity preserving algorithm under border effects in wireless sensor networks.
Proceedings of the Wireless Telecommunications Symposium, 2008

Overlapped Layers for Prolonging Network Lifetime in Multi-Hop Wireless Sensor Networks.
Proceedings of the Fifth International Conference on Information Technology: New Generations (ITNG 2008), 2008

Design and Implementation of a Parameterized NoC Router and its Application to Build PRDT-Based NoCs.
Proceedings of the Fifth International Conference on Information Technology: New Generations (ITNG 2008), 2008

Deadlock-Free Multi-Path Routing for Torus-Based NoCs.
Proceedings of the Fifth International Conference on Information Technology: New Generations (ITNG 2008), 2008

A Finite Queue Model Analysis of PMRC-based Wireless Sensor Networks.
Proceedings of the 2008 International Conference on Wireless Networks, 2008

A Degree Priority Routing Algorithm for Irregular Mesh Topology NoCs.
Proceedings of the International Conference on Embedded Software and Systems, 2008

Artificial Neural Networks Application in Population Chlorophyll Content Forecast From Cotton Plant Digital Images.
Proceedings of the 2008 International Conference on Artificial Intelligence, 2008

Scalable and fault-tolerant network-on-chip design usingthe quartered recursive diagonal torus topology.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Symmetry-aware placement with transitive closure graphs for analog layout design.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Placement-Directed Behavioral Synthesis Scheme for Simultaneous Scheduling Binding and Partitioning with Resources Operating at Multiple Voltages.
Int. J. Comput. Their Appl., 2007

Scheduling and optimal voltage selection with multiple supply voltages under resource constraints.
Integr., 2007

Analysis of the Effect of Channel Sub-rating in Unidirectional Call Overflow Scheme for Call Admission in Hierarchical Cellular Networks.
Proceedings of the 65th IEEE Vehicular Technology Conference, 2007

Handover Cost Optimization in Traffic Management for Multi-homed Mobile Networks.
Proceedings of the Ubiquitous Intelligence and Computing, 4th International Conference, 2007

Recursive Wavelength Routed Optical Network for Optical Network-on-Chips.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2007

Markov Reliability Modeling of Star Networks.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2007

On the Physicl Layout of PRDT-Based NoCs.
Proceedings of the Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2007

IdSRF: ID-based Secure Routing Framework for Wireless Ad-Hoc Networks.
Proceedings of the Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2007

Multi-path Routing for Mesh/Torus-Based NoCs.
Proceedings of the Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2007

Topology and Binary Routing Schemes of A PRDT-Based NoC.
Proceedings of the Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2007

Performance Analysis of Error Control Codes for Wireless Sensor Networks.
Proceedings of the Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2007

A Combinatorial Analysis of Distance Reliability in Star Network.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

SFRIC: A Secure Fast Roaming Scheme in Wireless LAN Using ID-Based Cryptography.
Proceedings of IEEE International Conference on Communications, 2007

An Improved Multi-Layered Architecture and its Rotational Scheme for Large-Scale Wireless Sensor Networks.
Proceedings of the 4th IEEE Consumer Communications and Networking Conference, 2007

2006
An automated design tool for analog layouts.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Scheduling and Partitioning Schemes for Low Power Designs Using Multiple Supply Voltages.
J. Supercomput., 2006

Placement Algorithm in Analog-Layout Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

A multilayer perceptron-based medical decision support system for heart disease diagnosis.
Expert Syst. Appl., 2006

K-Selector-Based Dispatching Algorithm for Clos-Network Switches.
Proceedings of the Third International Conference on Information Technology: New Generations (ITNG 2006), 2006

An Efficient Defense against Distributed Denial-of-Service Attacks using Congestion Path Marking.
Proceedings of IEEE International Conference on Communications, 2006

Progressive Image Transmission for Medical Applications based on Wavelet Transform with a Non-uniform Scalar Quantization Scheme.
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006

A Behavior Study of the Effects of Visual Feedback on Motor Output.
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006

2005
A hybrid evolutionary analogue module placement algorithm for integrated circuit layout designs.
Int. J. Circuit Theory Appl., 2005

Macro-cell placement for analog physical designs using a hybrid genetic algorithm with simulated annealing.
Integr. Comput. Aided Eng., 2005

Multiple voltage synthesis scheme for low power design under timing and resource constraints.
Integr. Comput. Aided Eng., 2005

A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs.
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005

Substream Selection in Wireless MIMO Systems for Complexity Reduction.
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005

Analyze Grid from the Perspective of a Computing System.
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005

Autonomous and Dynamic Web Service Composition in Wireless Grids.
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005

Coordinated Robust Routing by Dual Cluster Heads in Layered Wireless Sensor Networks.
Proceedings of the 8th International Symposium on Parallel Architectures, 2005

Fault-Tolerant Routing Schemes in RDT(2, 2, 1)/a-Based Interconnection Network for Networks-on-Chip Designs.
Proceedings of the 8th International Symposium on Parallel Architectures, 2005

On a Chaotic Neural Network with Decaying Chaotic Noise.
Proceedings of the Advances in Neural Networks - ISNN 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30, 2005

Instrumentation of YSZ oxygen sensor calibration in liquid lead-bismuth eutectic.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A synthesis scheme for simultaneous scheduling, binding, partitioning and placement with resources operating at multiple voltages.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
A novel multiplexer-based low-power full adder.
IEEE Trans. Circuits Syst. II Express Briefs, 2004

The internet-based knowledge acquisition and management method to construct large-scale distributed medical expert systems.
Comput. Methods Programs Biomed., 2004

Synthesis Scheme for Low Power Designs with Multiple Supply Voltages by Heuristic Algorithms.
Proceedings of the International Conference on Information Technology: Coding and Computing (ITCC'04), 2004

A placement algorithm for implementation of analog LSI/VLSI systems.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Synthesis scheme for low power designs with multiple supply voltages by tabu search.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Towards Reengineering Web Sites to Web-services Providers.
Proceedings of the 8th European Conference on Software Maintenance and Reengineering (CSMR 2004), 2004

2003
Discovering Critical Diagnostic Features for Heart Diseases with a Hybrid Genetic Algorithm.
Proceedings of the International Conference on Mathematics and Engineering Techniques in Medicine and Biological Scienes, 2003

Development of a decision support system for heart disease diagnosis using multilayer perceptron.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2002
A trace-back-free Viterbi decoder using a new survival path management algorithm.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Twiddle-Factor-Based FFT Algorithm with Reduced Memory Access.
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002

Reduce FFT memory reference for low power applications.
Proceedings of the IEEE International Conference on Acoustics, 2002

A DSP-based turbo codec for 3G communication systems.
Proceedings of the IEEE International Conference on Acoustics, 2002

2001
On area-efficient low power array multipliers.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

CAM-based label search engine for MPLS over ATM networks.
Proceedings of the Global Telecommunications Conference, 2001

Distributed Scaling Algorithm for FFT Computation Using Fixed-Point Arithmetic.
Proceedings of the ISCA 14th International Conference on Parallel and Distributed Computing Systems, 2001


  Loading...