Raid Ayoub

Orcid: 0000-0002-1175-2983

Affiliations:
  • University of California, San Diego, USA


According to our database1, Raid Ayoub authored at least 58 papers between 2004 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Fast Analysis Using Finite Queuing Model for Multilayer NoCs.
IEEE Des. Test, December, 2023

Dynamic Power Management in Large Manycore Systems: A Learning-to-Search Framework.
ACM Trans. Design Autom. Electr. Syst., September, 2023

Fast Performance Analysis for NoCs With Weighted Round-Robin Arbitration and Finite Buffers.
IEEE Trans. Very Large Scale Integr. Syst., May, 2023

Dynamic Reliability Management of Multigateway IoT Edge Computing Systems.
IEEE Internet Things J., March, 2023

Machine Learning-based Low Overhead Congestion Control Algorithm for Industrial NoCs.
CoRR, 2023

Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

A Lightweight Congestion Control Technique for NoCs with Deflection Routing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

On the Benefits of Leveraging Structural Information in Planning Over the Learned Model.
Proceedings of the American Control Conference, 2023

2022
Reinforcement learning based reliability-aware routing in IoT networks.
Ad Hoc Networks, 2022

2021
Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic.
IEEE Embed. Syst. Lett., 2021

Improving Mean Time to Failure of IoT Networks with Reliability-Aware Routing.
Proceedings of the 10th Mediterranean Conference on Embedded Computing, 2021

Theoretical Analysis and Evaluation of NoCs with Weighted Round-Robin Arbitration.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Energy and QoS-Aware Dynamic Reliability Management of IoT Edge Computing Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
A Survey on Energy Management for Mobile and IoT Devices.
IEEE Des. Test, 2020

Guest Editors' Introduction: Design and Management of Mobile Platforms: From Smartphones to Wearable Devices.
IEEE Des. Test, 2020

Analytical modeling of NoCs for fast simulation and design exploration (invited).
Proceedings of the SLIP '20: System-Level Interconnect, 2020

RelIoT: Reliability Simulator for IoT Networks.
Proceedings of the Internet of Things - ICIOT 2020, 2020

Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Simulating Reliability of IoT Networks with RelIoT.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Analytical Performance Models for NoCs with Multiple Priority Traffic Classes.
ACM Trans. Embed. Comput. Syst., 2019

Dynamic Optimization of Battery Health in IoT Networks.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

2018
Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads.
IEEE Trans. Multi Scale Comput. Syst., 2018

An Online Learning Methodology for Performance Modeling of Graphics Processors.
IEEE Trans. Computers, 2018

STAFF: online learning with stabilized adaptive forgetting factor and feature selection algorithm.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
User-aware Frame Rate Management in Android Smartphones.
ACM Trans. Embed. Comput. Syst., 2017

Delayed unknown input observers for discrete-time linear systems with guaranteed performance.
Syst. Control. Lett., 2017

Sensor selection for Kalman filtering of linear dynamical systems: Complexity, limitations and greedy algorithms.
Autom., 2017

Multi-variable Dynamic Power Management for the GPU Subsystem.
Proceedings of the 54th Annual Design Automation Conference, 2017

Adaptive Performance Sensitivity Model to Support GPU Power Management.
Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2017

2016
Adaptive performance prediction for integrated GPUs.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Sampling-based explicit nonlinear model predictive control for output tracking.
Proceedings of the 55th IEEE Conference on Decision and Control, 2016

2015
A control-theoretic approach for energy efficient CPU-GPU subsystem in mobile platforms.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Sensor selection for optimal filtering of linear dynamical systems: Complexity and approximation.
Proceedings of the 54th IEEE Conference on Decision and Control, 2015

Optimizing mobile display brightness by leveraging human visual perception.
Proceedings of the 2015 International Conference on Compilers, 2015

State estimation for linear systems with unknown inputs: Unknown input norm-observers and BIBOBS stability.
Proceedings of the American Control Conference, 2015

2014
Towards platform level power management in mobile systems.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

CAPED: Context-aware personalized display brightness for mobile devices.
Proceedings of the 2014 International Conference on Compilers, 2014

2013
CoMETC: Coordinated management of energy/thermal/cooling in servers.
ACM Trans. Design Autom. Electr. Syst., 2013

Power gating with block migration in chip-multiprocessor last-level caches.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Managing mobile platform power.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Temperature aware thread block scheduling in GPGPUs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Dynamic voltage and frequency scaling for shared resources in multicore processor designs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
JETC: Joint energy thermal and cooling management for memory and CPU subsystems in servers.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

Themis: Energy Efficient Management of Workloads in Virtualized Data Centers.
Proceedings of the Euro-Par 2012: Parallel Processing Workshops, 2012

TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Temperature Aware Dynamic Workload Scheduling in Multisocket CPU Servers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

OS-level power minimization under tight performance constraints in general purpose systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

2010
Energy efficient proactive thermal management in memory subsystem.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Performance and energy efficient cache migrationapproach for thermal management in embedded systems.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

GentleCool: Cooling aware proactive workload scheduling in multi-machine systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Cool and save: cooling aware dynamic workload scheduling in multi-socket CPU systems.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Predict and act: dynamic thermal management for multi-core processors.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

PDRAM: a hybrid PRAM and DRAM main memory system.
Proceedings of the 46th Design Automation Conference, 2009

Filtering Global History: Power and Performance Efficient Branch Predictor.
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009

2007
Power efficient register file update approach for embedded processors.
Proceedings of the 25th International Conference on Computer Design, 2007

2005
A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise & delay on processor buses.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Application specific instruction memory transformations for power efficient, fault resilient embedded processors.
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004


  Loading...