Janardhan Rao Doppa

Orcid: 0000-0002-3848-5301

Affiliations:
  • Washington State University, Pullman, WA, USA
  • Oregon State University, Corvallis, OR, USA


According to our database1, Janardhan Rao Doppa authored at least 142 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Out-of-distribution Detection in Time-series Domain: A Novel Seasonal Ratio Scoring Approach.
ACM Trans. Intell. Syst. Technol., February, 2024

Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning Workloads.
CoRR, 2024

FARe: Fault-Aware GNN Training on ReRAM-based PIM Accelerators.
CoRR, 2024

AgAID Institute - AI for agricultural labor and decision support.
AI Mag., 2024

Preference-Aware Constrained Multi-Objective Bayesian Optimization.
Proceedings of the 7th Joint International Conference on Data Science & Management of Data (11th ACM IKDD CODS and 29th COMAD), 2024

Offline Model-Based Optimization via Policy-Guided Gradient Search.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Preference-Aware Constrained Multi-Objective Bayesian Optimization (Student Abstract).
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Pareto Front-Diverse Batch Multi-Objective Bayesian Optimization.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
CALDA: Improving Multi-Source Time Series Domain Adaptation With Contrastive Adversarial Learning.
IEEE Trans. Pattern Anal. Mach. Intell., December, 2023

Florets for Chiplets: Data Flow-aware High-Performance and Energy-efficient Network-on-Interposer for CNN Inference Tasks.
ACM Trans. Embed. Comput. Syst., October, 2023

Dynamic Power Management in Large Manycore Systems: A Learning-to-Search Framework.
ACM Trans. Design Autom. Electr. Syst., September, 2023

ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

Dynamic Time Warping Based Adversarial Framework for Time-Series Domain.
IEEE Trans. Pattern Anal. Mach. Intell., June, 2023

ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs.
IEEE Trans. Emerg. Top. Comput., 2023

Accelerating Graph Neural Network Training on ReRAM-Based PIM Architectures via Graph and Model Pruning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

A Heterogeneous Chiplet Architecture for Accelerating End-to-End Transformer Models.
CoRR, 2023

Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators.
CoRR, 2023

Probabilistically robust conformal prediction.
Proceedings of the Uncertainty in Artificial Intelligence, 2023

Energy-Efficient ReRAM-Based ML Training via Mixed Pruning and Reconfigurable ADC.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Energy-Efficient Missing Data Recovery in Wearable Devices: A Novel Search-Based Approach.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Adversarial Framework with Certified Robustness for Time-Series Domain via Statistical Features (Extended Abstract).
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

Attacking Memristor-Mapped Graph Neural Network by Inducing Slow-to-Write Errors.
Proceedings of the IEEE European Test Symposium, 2023

Dynamic Task Remapping for Reliable CNN Training on ReRAM Crossbars.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Achieving Datacenter-scale Performance through Chiplet-based Manycore Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Bayesian Optimization over High-Dimensional Combinatorial Spaces via Dictionary-based Embeddings.
Proceedings of the International Conference on Artificial Intelligence and Statistics, 2023

Bayesian Optimization Over Iterative Learners with Structured Responses: A Budget-aware Planning Approach.
Proceedings of the International Conference on Artificial Intelligence and Statistics, 2023

Improving Uncertainty Quantification of Deep Classifiers via Neighborhood Conformal Prediction: Novel Algorithm and Theoretical Analysis.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
SWAP: A Server-Scale Communication-Aware Chiplet-Based Manycore PIM Accelerator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Accelerating Large-Scale Graph Neural Network Training on Crossbar Diet.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

High-Throughput Training of Deep CNNs on ReRAM-Based Heterogeneous Architectures via Optimized Normalization Layers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Adversarial Framework with Certified Robustness for Time-Series Domain via Statistical Features.
J. Artif. Intell. Res., 2022

Human-guided Collaborative Problem Solving: A Natural Language based Framework.
CoRR, 2022

Domain Adaptation Under Behavioral and Temporal Shifts for Natural Time Series Mobile Activity Recognition.
CoRR, 2022

NoC-enabled 3D Heterogeneous Manycore Systems for Big-Data Applications.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Fault-Tolerant Deep Learning Using Regularization.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Reliable Machine Learning for Wearable Activity Monitoring: Novel Algorithms and Theoretical Guarantees.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

DIET: A Dynamic Energy Management Approach for Wearable Health Monitoring Devices.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Adaptive Energy Management for Self-Sustainable Wearables in Mobile Health.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

Bayesian Optimization over Permutation Spaces.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

Training Robust Deep Models for Time-Series Domain: Novel Algorithms and Theoretical Analysis.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
Performance and Accuracy Tradeoffs for Training Graph Neural Networks on ReRAM-Based Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2021

HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration.
ACM Trans. Design Autom. Electr. Syst., 2021

Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators.
ACM Trans. Embed. Comput. Syst., 2021

AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous 3-D Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Power Management of Monolithic 3D Manycore Chips with Inter-tier Process Variations.
ACM J. Emerg. Technol. Comput. Syst., 2021

Output Space Entropy Search Framework for Multi-Objective Bayesian Optimization.
J. Artif. Intell. Res., 2021

ReaLPrune: ReRAM Crossbar-aware Lottery Ticket Pruned CNNs.
CoRR, 2021

Combining Latent Space and Structured Kernels for Bayesian Optimization over Combinatorial Spaces.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Adaptive Experimental Design for Optimizing Combinatorial Structures.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Bayesian Optimization over Hybrid Spaces.
Proceedings of the 38th International Conference on Machine Learning, 2021

Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Heterogeneous Manycore Architectures Enabled by Processing-in-Memory for Deep Learning: From CNNs to GNNs: (ICCAD Special Session Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A General Hardware and Software Co-Design Framework for Energy-Efficient Edge AI.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

DARe: DropLayer-Aware Manycore ReRAM architecture for Training Graph Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

3D++: Unlocking the Next Generation of High-Performance and Energy-Efficient Architectures using M3D Integration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

ReGraphX: NoC-enabled 3D Heterogeneous ReRAM Architecture for Training Graph Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Learning Pareto-Frontier Resource Management Policies for Heterogeneous SoCs: An Information-Theoretic Approach.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Mercer Features for Efficient Combinatorial Bayesian Optimization.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021

2020
An Energy-aware Online Learning Framework for Resource Management in Heterogeneous Platforms.
ACM Trans. Design Autom. Electr. Syst., 2020

Design and Optimization of Energy-Accuracy Tradeoff Networks for Mobile Platforms via Pretrained Deep Models.
ACM Trans. Embed. Comput. Syst., 2020

Analyzing Deep Learning for Time-Series Data Through Adversarial Lens in Mobile and IoT Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

3D-ReG: A 3D ReRAM-based Heterogeneous Architecture for Training Deep Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., 2020

Making a Case for Partially Connected 3D NoC: NFIC versus TSV.
ACM J. Emerg. Technol. Comput. Syst., 2020

Few-Shot Induction of Generalized Logical Concepts via Human Guidance.
Frontiers Robotics AI, 2020

Information-Theoretic Multi-Objective Bayesian Optimization with Continuous Approximations.
CoRR, 2020

Max-value Entropy Search for Multi-Objective Bayesian Optimization with Constraints.
CoRR, 2020

Scalable Combinatorial Bayesian Optimization with Tractable Statistical models.
CoRR, 2020

Uncertainty aware Search Framework for Multi-Objective Bayesian Optimization with Constraints.
CoRR, 2020

Multi-Source Deep Domain Adaptation with Weak Supervision for Time-Series Sensor Data.
Proceedings of the KDD '20: The 26th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, 2020

SETGAN: Scale and Energy Trade-off GANs for Image Applications on Mobile Platforms.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Design of Multi-Output Switched-Capacitor Voltage Regulator via Machine Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Power, Performance, and Thermal Trade-offs in M3D-enabled Manycore Chips.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

GRAMARCH: A GPU-ReRAM based Heterogeneous Architecture for Neural Image Segmentation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

PETNet: Polycount and Energy Trade-off Deep Networks for Producing 3D Objects from Images.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Optimizing Discrete Spaces via Expensive Evaluations: A Learning to Search Framework.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

Uncertainty-Aware Search Framework for Multi-Objective Bayesian Optimization.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

Multi-Fidelity Multi-Objective Bayesian Optimization: An Output Space Entropy Search Approach.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Dynamic Resource Management of Heterogeneous Mobile Platforms via Imitation Learning.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Impact of Electrostatic Coupling on Monolithic 3D-enabled Network on Chip.
ACM Trans. Design Autom. Electr. Syst., 2019

MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems.
ACM Trans. Embed. Comput. Syst., 2019

Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2019

Planning with actively eliciting preferences.
Knowl. Based Syst., 2019

Autonomous Design Space Exploration of Computing Systems for Sustainability: Opportunities and Challenges.
IEEE Des. Test, 2019

Guest Editors' Introduction: Special Issue on Smart and Autonomous Systems for Sustainability.
IEEE Des. Test, 2019

One-Shot Induction of Generalized Logical Concepts via Human Guidance.
CoRR, 2019

Active Anomaly Detection via Ensembles: Insights, Algorithms, and Interpretability.
CoRR, 2019

Max-value Entropy Search for Multi-Objective Bayesian Optimization.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Learning and Inference for Structured Prediction: A Unifying Perspective.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

Randomized Greedy Search for Structured Prediction: Amortized Inference and Learning.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

REGENT: A Heterogeneous ReRAM/GPU-based Architecture Enabled by NoC for Training CNNs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Design and Optimization of Heterogeneous Manycore Systems Enabled by Emerging Interconnect Technologies: Promises and Challenges.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Taming extreme heterogeneity via machine learning based design of autonomous manycore systems.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

2018
Scalable Network-on-Chip Architectures for Brain-Machine Interface Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-Chip.
ACM Trans. Design Autom. Electr. Syst., 2018

Trading-Off Accuracy and Energy of Deep Inference on Embedded Systems: A Co-Design Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2018

Design Space Exploration of 3D Network-on-Chip: A Sensitivity-based Optimization Approach.
ACM J. Emerg. Technol. Comput. Syst., 2018

GLAD: GLocalized Anomaly Detection via Active Feature Space Suppression.
CoRR, 2018

Active Anomaly Detection via Ensembles.
CoRR, 2018

Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis.
Computer, 2018

Abetting Planned Obsolescence by Aging 3D Networks-on-Chip.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Machine learning for design space exploration and optimization of manycore systems.
Proceedings of the International Conference on Computer-Aided Design, 2018

Hybrid on-chip communication architectures for heterogeneous manycore systems.
Proceedings of the International Conference on Computer-Aided Design, 2018

Preference-Guided Planning: An Active Elicitation Approach.
Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems, 2018

Bayesian Optimization Meets Search Based Optimization: A Hybrid Approach for Multi-Fidelity Optimization.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018

2017
Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2017

VFI-Based Power Management to Enhance the Lifetime of High-Performance 3D NoCs.
ACM Trans. Design Autom. Electr. Syst., 2017

Learning Activity Predictors from Sensor Data: Algorithms, Evaluation, and Applications.
IEEE Trans. Knowl. Data Eng., 2017

Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training: Performance and Thermal Trade-offs.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

Adaptive Manycore Architectures for Big Data Computing.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

Monolithic 3D-Enabled High Performance and Energy Efficient Network-on-Chip.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Data analytics enables energy-efficiency and robustness: from mobile to manycores, datacenters, and networks (special session paper).
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

Learning to Speed Up Query Planning in Graph Databases.
Proceedings of the Twenty-Seventh International Conference on Automated Planning and Scheduling, 2017

Select-and-Evaluate: A Learning Framework for Large-Scale Knowledge Graph Search.
Proceedings of The 9th Asian Conference on Machine Learning, 2017

Multi-Task Structured Prediction for Entity Analysis: Search-Based Learning Algorithms.
Proceedings of The 9th Asian Conference on Machine Learning, 2017

Towards Problem Solving Agents that Communicate and Learn.
Proceedings of the First Workshop on Language Grounding for Robotics, 2017

Active Preference Elicitation for Planning.
Proceedings of the Workshops of the The Thirty-First AAAI Conference on Artificial Intelligence, 2017

2016
Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3D Small-world Network-on-Chip.
CoRR, 2016

Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Reliability and performance trade-offs for 3D NoC-enabled multicore chips.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms.
Proceedings of the 2016 International Conference on Compilers, 2016

2015
Data-Driven Activity Prediction: Algorithms, Evaluation Methodology, and Applications.
Proceedings of the 21th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2015

Optimizing 3D NoC Design for Energy Efficiency: A Machine Learning Approach.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

ℋC-search for structured prediction in computer vision.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2015

Learning Greedy Policies for the Easy-First Framework.
Proceedings of the Twenty-Ninth AAAI Conference on Artificial Intelligence, 2015

2014
Structured prediction via output space search.
J. Mach. Learn. Res., 2014

HC-Search: A Learning Framework for Search-based Structured Prediction.
J. Artif. Intell. Res., 2014

Prune-and-Score: Learning for Greedy Coreference Resolution.
Proceedings of the 2014 Conference on Empirical Methods in Natural Language Processing, 2014

Learning Scripts as Hidden Markov Models.
Proceedings of the Twenty-Eighth AAAI Conference on Artificial Intelligence, 2014

HC-Search for Multi-Label Prediction: An Empirical Study.
Proceedings of the Twenty-Eighth AAAI Conference on Artificial Intelligence, 2014

2013
Learning to Detect Basal Tubules of Nematocysts in SEM Images.
Proceedings of the 2013 IEEE International Conference on Computer Vision Workshops, 2013

HC-Search: Learning Heuristics and Cost Functions for Structured Prediction.
Proceedings of the Twenty-Seventh AAAI Conference on Artificial Intelligence, 2013

2012
An Ensemble Architecture for Learning Complex Problem-Solving Techniques from Demonstration.
ACM Trans. Intell. Syst. Technol., 2012

Output Space Search for Structured Prediction.
Proceedings of the 29th International Conference on Machine Learning, 2012

2011
Learning Rules from Incomplete Examples via Implicit Mention Models.
Proceedings of the 3rd Asian Conference on Machine Learning, 2011

Inverting Grice's Maxims to Learn Rules from Natural Language Extractions.
Proceedings of the Advances in Neural Information Processing Systems 24: 25th Annual Conference on Neural Information Processing Systems 2011. Proceedings of a meeting held 12-14 December 2011, 2011

2010
Learning Algorithms for Link Prediction Based on Chance Constraints.
Proceedings of the Machine Learning and Knowledge Discovery in Databases, 2010

2009


  Loading...