Sascha Uhrig

According to our database1, Sascha Uhrig authored at least 56 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Efficient and Mathematically Robust Operations for Certified Neural Networks Inference.
CoRR, 2024

2019
Safety and Security Coengineering in Embedded Systems.
Secur. Commun. Networks, 2019

2018
Virtual Timing Isolation for Mixed-Criticality Systems.
Proceedings of the 30th Euromicro Conference on Real-Time Systems, 2018

Closed Loop Controller for Multicore Real-Time Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2018, 2018

2017
A scheduling framework for handling integrated modular avionic systems on multicore platforms.
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017

Contention-Aware Dynamic Memory Bandwidth Isolation with Predictability in COTS Multicores: An Avionics Case Study.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017

2016
Parallelizing Industrial Hard Real-Time Applications for the parMERASA Multicore.
ACM Trans. Embed. Comput. Syst., 2016

Poster Abstract: Slot-Level Time-Triggered Scheduling on COTS Multicore Platform with Resource Contentions.
Proceedings of the 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2016


2015
Case study: Performance and WCET analysis for parallelised avionic applications with ODC<sup>2</sup>.
Proceedings of the 13th IEEE International Conference on Industrial Informatics, 2015

The shift to multicores in real-time and safety-critical systems.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

MESI-Based Cache Coherence for Hard Real-Time Multicore Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015

2014
A real-time capable coherent data cache for multicores.
Concurr. Comput. Pract. Exp., 2014

Extended performance analysis of the time predictable on-demand coherent data cache for multi- and many-core systems.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

Time-Predictable Architectures.
FOCUS - Computer Engineering Series, iSTE / Wiley, ISBN: 978-1-84821-593-1, 2014

2013
A hard real-time capable multi-core SMT processor.
ACM Trans. Embed. Comput. Syst., 2013

Performance Evaluation of the Time Analysable On-Demand Coherent Cache.
Proceedings of the 12th IEEE International Conference on Trust, 2013


2012
Advanced architecture optimisation and performance analysis of a reconfigurable grid ALU processor.
IET Comput. Digit. Tech., 2012

Fine-grained timing and control flow error checking for hard real-time task execution.
Proceedings of the 7th IEEE International Symposium on Industrial Embedded Systems, 2012

Implementing a ring-based real-time capable network using a multithreaded Java processor.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

2011
RTOS support for execution of parallelized hard real-time tasks on the MERASA multi-core processor.
Comput. Syst. Sci. Eng., 2011

A Software-Pipelined Approach to Multicore Execution of Timing Predictable Multi-threaded Hard Real-Time Tasks.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2011

Tracing Static Fields of Embedded Parallel Java Applications.
Proceedings of the Workshop Proceedings of the 35th Annual IEEE International Computer Software and Applications Conference, 2011

A Dynamic Instruction Scratchpad Memory for Embedded Processors Managed by Hardware.
Proceedings of the Architecture of Computing Systems - ARCS 2011, 2011

2010
Merasa: Multicore Execution of Hard Real-Time Applications Supporting Analyzability.
IEEE Micro, 2010

Using SMT to Hide Context Switch Times of Large Real-Time Tasksets.
Proceedings of the 16th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2010

The embedded Java benchmark suite JemBench.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

RTOS Support for Parallel Execution of Hard Real-Time Applications on the MERASA Multi-core Processor.
Proceedings of the 13th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2010

Optimisation of Energy Consumption of Soft Real-Time Applications by Workload Prediction.
Proceedings of the 13th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2010

The MANy JAva Core processor (MANJAC).
Proceedings of the 2010 International Conference on High Performance Computing & Simulation, 2010

Static Speculation as Post-link Optimization for the Grid Alu Processor.
Proceedings of the Euro-Par 2010 Parallel Processing Workshops, 2010

Reconfigurable Grid Alu Processor: Optimization and Design Space Exploration.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

How to Enhance a Superscalar Processor to Provide Hard Real-Time Capable In-Order SMT.
Proceedings of the Architecture of Computing Systems, 2010

Dynamic Workload Prediction for Soft Real-Time Applications.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
Implementing AUTOSAR scheduling and resource management on an embedded SMT processor.
Proceedings of the 12th International Workshop on Software and Compilers for Embedded Systems, 2009

Evaluation of Different Multithreaded and Multicore Processor Configurations for SoPC.
Proceedings of the Embedded Computer Systems: Architectures, 2009

Risks and chances of many-core processors.
Proceedings of the 2009 International Conference on High Performance Computing & Simulation, 2009

IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor.
Proceedings of the High Performance Embedded Architectures and Compilers, 2009

A Garbage Collection Technique for Embedded Multithreaded Multicore Processors.
Proceedings of the Architecture of Computing Systems, 2009

2008
A Flexible Java-on-Chip Solution (Eine flexible Java-on-Chip Lösung).
it Inf. Technol., 2008

A Two-Layered Management Architecture for Building Adaptive Real-Time Systems.
Proceedings of the Software Technologies for Embedded and Ubiquitous Systems, 2008

Predictable dynamic instruction scratchpad for simultaneous multithreaded processors.
Proceedings of the 9th workshop on MEmory performance, 2008

Exploiting spare resources of in-order SMT processors executing hard real-time threads.
Proceedings of the 26th International Conference on Computer Design, 2008

An Operating System Architecture for Organic Computing in Embedded Real-Time Systems.
Proceedings of the Autonomic and Trusted Computing, 5th International Conference, 2008

2007
An IP Core for Embedded Java Systems.
Proceedings of the Embedded Computer Systems: Architectures, 2007

jamuth: an IP processor core for embedded Java real-time systems.
Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, 2007

2006
Coupling of a reconfigurable architecture and a multithreaded processor core with integrated real-time scheduling.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

2005
Der mehrfädige Komodo-Mikrocontroller (The Multithreaded Komodo Microcontroller).
it Inf. Technol., 2005

Energy Management for Embedded Multithreaded Processors with Integrated EDF Scheduling.
Proceedings of the Systems Aspects in Organic and Pervasive Computing, 2005

2004
Optimierung des Energieverbrauchs in echtzeitfähigen, mehrfädigen Prozessoren.
PhD thesis, 2004

Fine-grained power management for multithreaded processor cores.
Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), 2004

CARUSO - Project Goals and Principal Approach.
Proceedings of the 34. Jahrestagung der Gesellschaft für Informatik, 2004

2003
Real-time event-handling and scheduling on a multithreaded Java microcontroller.
Microprocess. Microsystems, 2003

Hardware-based Power Management for Real-Time Applications.
Proceedings of the 2nd International Symposium on Parallel and Distributed Computing (ISPDC 2003), 2003

2002
A Real-Time Java System on a Multithreaded Java Microcontroller.
Proceedings of the 5th International Symposiun on Object Oriented Real-Time Distributed Computing, 2002


  Loading...