Tomonori Sekiguchi

Orcid: 0000-0002-7094-845X

According to our database1, Tomonori Sekiguchi authored at least 21 papers between 1995 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Generating Shuttling Procedures for Constrained Silicon Quantum Dot Array.
CoRR, 2024

2020
A 22-ng/ $\surd$ Hz 17-mW Capacitive MEMS Accelerometer With Electrically Separated Mass Structure and Digital Noise- Reduction Techniques.
IEEE J. Solid State Circuits, 2020

2019
A 22ng/√Hz 17mW MEMS Accelerometer with Digital Noise-Reduction Techniques.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

2018
Design of Perforated Membrane for Low-Noise Capacitive MEMS Accelerometers.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

2016
A low 1/f-noise accelerometer frontend using chopper stabilization at a frequency matched with a notch of quantization noise.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

A concentrated springs architecture for single-digit frequency symmetry in Si MEMS gyroscope.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

2012
Small-Sized Leakage-Controlled Gated Sense Amplifier for 0.5-V Multi-Gigabit DRAM Arrays.
IEICE Trans. Electron., 2012

A Low-<i>V</i><sub>t</sub> Small-Offset Gated-Preamplifier for Sub-1-V DRAM Mid-Point Sensing.
IEICE Trans. Electron., 2012

2011
1-Tbyte/s 1-Gbit DRAM Architecture Using 3-D Interconnect for High-Throughput Computing.
IEEE J. Solid State Circuits, 2011

2010
0.5-V Low- V <sub>T</sub> CMOS Preamplifier for Low-Power and High-Speed Gigabit-DRAM Arrays.
IEEE J. Solid State Circuits, 2010

2009
Low-Vt small-offset gated preamplifier for sub-1V gigabit DRAM arrays.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

2008
Memory at VLSI Circuits Symposium.
IEEE J. Solid State Circuits, 2008

2007
Long-Retention-Time, High-Speed DRAM Array with 12-<i>F</i><sup>2</sup> Twin Cell for Sub 1-V Operation.
IEICE Trans. Electron., 2007

2006
Concordant memory design: an integrated statistical design approach for multi-gigabit DRAM.
IEEE J. Solid State Circuits, 2006

A 0.5-V FD-SOI twin-cell DRAM with offset-free dynamic-V<sub><i>T</i></sub> sense amplifiers.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

2005
A ternary/quaternary CAM architecture with an NPU-side IP-address compression scheme and a dynamic re-configurable CODEC scheme for large-scale flow-table lookup.
Proceedings of IEEE International Conference on Communications, 2005

2002
A low-impedance open-bitline array for multigigabit DRAM.
IEEE J. Solid State Circuits, 2002

2001
A multigigabit DRAM technology with 6F<sup>2</sup> open-bitline cell, distributed overdriven sensing, and stacked-flash fuse.
IEEE J. Solid State Circuits, 2001

1997
The charge-share modified (CSM) precharge-level architecture for high-speed and low-power ferroelectric memory.
IEEE J. Solid State Circuits, 1997

1995
Low-noise, high-speed data transmission using a ringing-canceling output buffer.
IEEE J. Solid State Circuits, December, 1995

An experimental 220-MHz 1-Gb DRAM with a distributed-column-control architecture.
IEEE J. Solid State Circuits, November, 1995


  Loading...