Yeseong Kim

Orcid: 0000-0001-5947-9632

According to our database1, Yeseong Kim authored at least 68 papers between 2012 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Sparsity Controllable Hyperdimensional Computing for Genome Sequence Matching Acceleration.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge (Extended Abstract).
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

Hierarchical, Distributed and Brain-Inspired Learning for Internet of Things Systems.
Proceedings of the 43rd IEEE International Conference on Distributed Computing Systems, 2023

Efficient Off-Policy Reinforcement Learning via Brain-Inspired Computing.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Efficient Hyperdimensional Learning with Trainable, Quantizable, and Holistic Data Representation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Sidekick: Near Data Processing for Clustering Enhanced by Automatic Memory Disaggregation.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Comprehensive Integration of Hyperdimensional Computing with Deep Learning towards Neuro-Symbolic AI.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
OpenHD: A GPU-Powered Framework for Hyperdimensional Computing.
IEEE Trans. Computers, 2022

COSMO: Computing with Stochastic Numbers in Memory.
ACM J. Emerg. Technol. Comput. Syst., 2022

QHD: A brain-inspired hyperdimensional reinforcement learning algorithm.
CoRR, 2022

DeepSketch: A New Machine Learning-Based Reference Search Technique for Post-Deduplication Delta Compression.
CoRR, 2022

BioHD: an efficient genome sequence search platform using HyperDimensional memorization.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

DeepSketch: A New Machine Learning-Based Reference Search Technique for Post-Deduplication Delta Compression.
Proceedings of the 20th USENIX Conference on File and Storage Technologies, 2022

DeepPM: Transformer-based Power and Performance Prediction for Energy-Aware Software.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Online Performance and Power Prediction for Edge TPU via Comprehensive Characterization.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Adaptive neural recovery for highly robust brain-like representation.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

QuiltNet: efficient deep learning inference on multi-chip accelerators using model partitioning.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Neural computation for robust and holographic face detection.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

XCelHD: An Efficient GPU-Powered Hyperdimensional Computing with Parallelized Training.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Spiking Hyperdimensional Network: Neuromorphic Models Integrated with Memory-Inspired Framework.
CoRR, 2021

Learned Performance Model for SSD.
IEEE Comput. Archit. Lett., 2021

Scalable edge-based hyperdimensional learning system with brain-like neural adaptation.
Proceedings of the International Conference for High Performance Computing, 2021

Efficient Brain-Inspired Hyperdimensional Learning with Spatiotemporal Structured Data.
Proceedings of the 29th International Symposium on Modeling, 2021

Massively Parallel Big Data Classification on a Programmable Processing In-Memory Architecture.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Revisiting HyperDimensional Learning for FPGA and Low-Power Architectures.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

ManiHD: Efficient Hyper-Dimensional Learning Using Manifold Trainable Encoder.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

FPGA Acceleration of Protein Back-Translation and Alignment.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A Framework for Efficient and Binary Clustering in High-Dimensional Space.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

CascadeHD: Efficient Many-Class Learning Framework Using Hyperdimensional Computing.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

DP-Sim: A Full-stack Simulation Infrastructure for Digital Processing In-Memory Architectures.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

HyperRec: Efficient Recommender Systems with Hyperdimensional Computing.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
SHEARer: Highly-Efficient Hyperdimensional Computing by Software-Hardware Enabled Multifold Approximation.
CoRR, 2020

Deep Learning Acceleration using Digital-Based Processing In-Memory.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

DUAL: Acceleration of Clustering Algorithms using Digital-based Processing In-Memory.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

SHEAR<i>er</i>: highly-efficient hyperdimensional computing by software-hardware enabled multifold approximation.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Deep Learning Acceleration with Neuron-to-Memory Transformation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

GenieHD: Efficient DNA Pattern Matching Accelerator Using Hyperdimensional Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Image Recognition Accelerator Design Using In-Memory Processing.
IEEE Micro, 2019

Efficient Sparse Processing in Smart Home Applications.
Proceedings of the 1st Workshop on Machine Learning on Edge in Sensor Systems, 2019

MAPIM: Mat Parallelism for High Performance Processing in Non-volatile Memory Architecture.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

ROAD: Routability Analysis and Diagnosis Framework Based on SAT Techniques.
Proceedings of the 2019 International Symposium on Physical Design, 2019

FloatPIM: in-memory acceleration of deep neural network training with high precision.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Hierarchical and Distributed Machine Learning Inference Beyond the Edge.
Proceedings of the 16th IEEE International Conference on Networking, Sensing and Control, 2019

UPIM: Unipolar Switching Logic for High Density Processing-in-Memory Applications.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

DigitalPIM: Digital-based Processing In-Memory for Big Data Acceleration.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Application Performance Prediction and Optimization Under Cache Allocation Technology.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

HDCluster: An Accurate Clustering Using Brain-Inspired High-Dimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

GRAM: graph processing in a ReRAM-based computational memory.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

A Framework for Collaborative Learning in Secure High-Dimensional Space.
Proceedings of the 12th IEEE International Conference on Cloud Computing, 2019

2018
RAPIDNN: In-Memory Deep Neural Network Acceleration Framework.
CoRR, 2018

LUPIS: Latch-up based ultra efficient processing in-memory system.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Efficient human activity recognition using hyperdimensional computing.
Proceedings of the 8th International Conference on the Internet of Things, 2018

2017
Enabling efficient system design using vertical nanowire transistor current mode logic.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

NNgine: Ultra-Efficient Nearest Neighbor Accelerator Based on In-Memory Computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

P<sup>4</sup>: Phase-based power/performance prediction of heterogeneous systems via neural networks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

ORCHARD: Visual object recognition accelerator based on approximate in-memory processing.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Efficient neural network acceleration on GPGPU using content addressable memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Cross-platform machine learning characterization for task allocation in IoT ecosystems.
Proceedings of the IEEE 7th Annual Computing and Communication Workshop and Conference, 2017

MPIM: Multi-purpose in-memory processing using configurable resistive memory.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Personalized Network Activity-Aware Approach to Reducing Radio Energy Consumption of Smartphones.
IEEE Trans. Mob. Comput., 2016

A low-power hybrid magnetic cache architecture exploiting narrow-width values.
Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium, 2016

ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

2015
Characterization of User's Behavior Variations for Design of Replayable Mobile Workloads.
Proceedings of the Mobile Computing, Applications, and Services, 2015

Smartphone Analysis and Optimization based on User Activity Recognition.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Personalized optimization for android smartphones.
ACM Trans. Embed. Comput. Syst., 2014

2012
Personalized Diapause: Reducing Radio Energy Consumption of Smartphones by Network-Context Aware Dormancy Predictions.
Proceedings of the 2012 Workshop on Power-Aware Computing Systems, HotPower'12, 2012


  Loading...