M. Hassan Najafi

Orcid: 0000-0002-4655-6229

According to our database1, M. Hassan Najafi authored at least 66 papers between 2015 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
No-Multiplication Deterministic Hyperdimensional Encoding for Resource-Constrained Devices.
IEEE Embed. Syst. Lett., December, 2023

Hardware-Software Co-Optimization of Long-Latency Stochastic Computing.
IEEE Embed. Syst. Lett., December, 2023

A Generalized Residue Number System Design Approach for Ultralow-Power Arithmetic Circuits Based on Deterministic Bit-Streams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Agile Simulation of Stochastic Computing Image Processing With Contingency Tables.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Guest Editorial Unconventional Computing Techniques for Emerging Technology Applications.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Stochastic Computing Design and Implementation of a Sound Source Localization System.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

uHD: Unary Processing for Lightweight and Dynamic Hyperdimensional Computing.
CoRR, 2023

Sobol Sequence Optimization for Hardware-Efficient Vector Symbolic Architectures.
CoRR, 2023

Sorting it out in Hardware: A State-of-the-Art Survey.
CoRR, 2023

P2LSG: Powers-of-2 Low-Discrepancy Sequence Generator for Stochastic Computing.
CoRR, 2023

Learning from Hypervectors: A Survey on Hypervector Encoding.
CoRR, 2023

Accurate and Energy-Efficient Stochastic Computing with Van Der Corput Sequences.
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, 2023

Multiplexer Optimization for Adders in Stochastic Computing.
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, 2023

Scalable Low-Cost Sorting Network with Weighted Bit-Streams.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Graph Neural Network Assisted Quantum Compilation for Qubit Allocation.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Bit-Stream Processing with No Bit-Stream: Efficient Software Simulation of Stochastic Vision Machines.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Reconvergent Path-aware Simulation of Bit-stream Processing.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Stochastic Computing for Reliable Memristive In-Memory Computation.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

A Linear-Time, Optimization-Free, and Edge Device-Compatible Hypervector Encoding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Stochastic Computing in Beyond Von-Neumann Era: Processing Bit-Streams in Memristive Memory.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

High-Accuracy Multiply-Accumulate (MAC) Technique for Unary Stochastic Computing.
IEEE Trans. Computers, 2022

Sorting in Memristive Memory.
ACM J. Emerg. Technol. Comput. Syst., 2022

Full Stack Parallel Online Hyperdimensional Regression on FPGA.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Sound Source Localization Using Stochastic Computing.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

A Low-Cost Stochastic Computing-based Fuzzy Filtering for Image Noise Reduction.
Proceedings of the 13th IEEE International Green and Sustainable Computing Conference, 2022

A fast and low-cost comparison-free sorting engine with unary computing: late breaking results.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
High Quality Down-Sampling for Deterministic Approaches to Stochastic Computing.
IEEE Trans. Emerg. Top. Comput., 2021

High-Performance Deterministic Stochastic Computing Using Residue Number System.
IEEE Des. Test, 2021

Exact Stochastic Computing Multiplication in Memristive Memory.
IEEE Des. Test, 2021

Robust In-Memory Computing with Hyperdimensional Stochastic Representation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

CORLD: In-Stream Correlation Manipulation for Low-Discrepancy Stochastic Computing.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ManiHD: Efficient Hyper-Dimensional Learning Using Manifold Trainable Encoder.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A Low-Cost FSM-based Bit-Stream Generator for Low-Discrepancy Stochastic Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

StocHD: Stochastic Hyperdimensional System for Efficient and Robust Learning from Raw Data.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Energy-Efficient Pulse-Based Convolution for Near-Sensor Processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Fuzzy-Logic using Unary Bit-Stream Processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

TaxoNN: A Light-Weight Accelerator for Deep Neural Network Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Exact In-Memory Multiplication Based on Deterministic Stochastic Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Accelerating Deterministic Stochastic Computing with Context-Aware Bit-stream Generator.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

SCRIMP: A General Stochastic Computing Architecture using ReRAM in-Memory Processing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Late Breaking Results: LDFSM: A Low-Cost Bit-Stream Generator for Low-Discrepancy Stochastic Computing.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Performing Stochastic Computation Deterministically.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Low-Cost Stochastic Hybrid Multiplier for Quantized Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., 2019

Accelerating Deterministic Bit-Stream Computing with Resolution Splitting.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

Low Cost Hybrid Spin-CMOS Compressor for Stochastic Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Energy-Efficient Convolutional Neural Networks with Deterministic Bit-Stream Processing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

SkippyNN: An Embedded Stochastic-Computing Accelerator for Convolutional Neural Networks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Energy-Efficient Near-Sensor Convolution using Pulsed Unary Processing.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

Using Residue Number Systems to Accelerate Deterministic Bit-stream Multiplication.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

Context-Aware Number Generator for Deterministic Bit-stream Computing.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
Low-Cost Sorting Network Circuits Using Unary Processing.
IEEE Trans. Very Large Scale Integr. Syst., 2018

On Memory System Design for Stochastic Computing.
IEEE Comput. Archit. Lett., 2018

Quantized neural networks with new stochastic multipliers.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Deterministic methods for stochastic computing using low-discrepancy sequences.
Proceedings of the International Conference on Computer-Aided Design, 2018

2017
Time-Encoded Values for Highly Efficient Stochastic Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Polysynchronous Clocking: Exploiting the Skew Tolerance of Stochastic Circuits.
IEEE Trans. Computers, 2017

An Overview of Time-Based Computing with Stochastic Constructs.
IEEE Micro, 2017

A Reconfigurable Architecture with Sequential Logic-Based Stochastic Computing.
ACM J. Emerg. Technol. Comput. Syst., 2017

Power and Area Efficient Sorting Networks Using Unary Processing.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

High-speed stochastic circuits using synchronous analog pulses.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Fast Fault-Tolerant Architecture for Sauvola Local Image Thresholding Algorithm Using Stochastic Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A High-Capacity Separable Reversible Method for Hiding Multiple Messages in Encrypted Images.
CoRR, 2016

Using Stochastic Computing to Reduce the Hardware Requirements for a Restricted Boltzmann Machine Classifier.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Polysynchronous stochastic circuits.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
GPU-Accelerated Nick Local Image Thresholding Algorithm.
Proceedings of the 21st IEEE International Conference on Parallel and Distributed Systems, 2015

An FPGA implementation of a Restricted Boltzmann Machine classifier using stochastic bit streams.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015


  Loading...