Farinaz Koushanfar

Orcid: 0000-0003-0798-3794

Affiliations:
  • University of California, San Diego, USA
  • University of California, Berkeley, USA (former)


According to our database1, Farinaz Koushanfar authored at least 293 papers between 2000 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2022, "For contributions to secure computing and privacy-preserving machine learning".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Tailor: Altering Skip Connections for Resource-Efficient Inference.
ACM Trans. Reconfigurable Technol. Syst., March, 2024

Intellectual Property Protection of Deep-Learning Systems via Hardware/Software Co-Design.
IEEE Des. Test, 2024

Token-Specific Watermarking with Enhanced Detectability and Semantic Coherence for Large Language Models.
CoRR, 2024

EmMark: Robust Watermarks for IP Protection of Embedded Quantized Large Language Models.
CoRR, 2024

2023
Systemization of Knowledge: Robust Deep Learning using Hardware-software co-design in Centralized and Federated Settings.
ACM Trans. Design Autom. Electr. Syst., November, 2023

AdaTest: Reinforcement Learning and Adaptive Sampling for On-chip Hardware Trojan Detection.
ACM Trans. Embed. Comput. Syst., March, 2023

AccHashtag: Accelerated Hashing for Detecting Fault-Injection Attacks on Embedded Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., January, 2023

Abusing Commodity DRAMs in IoT Devices to Remotely Spy on Temperature.
IEEE Trans. Inf. Forensics Secur., 2023

Tutorial: Toward Robust Deep Learning against Poisoning Attacks.
ACM Trans. Embed. Comput. Syst., 2023

Adversarial scratches: Deployable attacks to CNN classifiers.
Pattern Recognit., 2023

Lanturn: Measuring Economic Security of Smart Contracts Through Adaptive Learning.
IACR Cryptol. ePrint Arch., 2023

SPAM: Secure & Private Aircraft Management.
CoRR, 2023

LayerCollapse: Adaptive compression of neural networks.
CoRR, 2023

LiveTune: Dynamic Parameter Tuning for Training Deep Neural Networks.
CoRR, 2023

HypOp: Distributed Constrained Combinatorial Optimization leveraging Hypergraph Neural Networks.
CoRR, 2023

Magmaw: Modality-Agnostic Adversarial Attacks on Machine Learning-Based Wireless Communication Systems.
CoRR, 2023

REMARK-LLM: A Robust and Efficient Watermarking Framework for Generative Large Language Models.
CoRR, 2023

SelfVC: Voice Conversion With Iterative Refinement using Self Transformations.
CoRR, 2023

SABRE: Robust Bayesian Peer-to-Peer Federated Learning.
CoRR, 2023

Secure and Trustworthy Computing 2.0 Vision Statement.
CoRR, 2023

G-Scan: Graph Neural Networks for Line-Level Vulnerability Identification in Smart Contracts.
CoRR, 2023

NetFlick: Adversarial Flickering Attacks on Deep Learning Based Video Compression.
CoRR, 2023

Tailor: Altering Skip Connections for Resource-Efficient Inference.
CoRR, 2023

Smarter Contracts: Detecting Vulnerabilities in Smart Contracts with Deep Transfer Learning.
Proceedings of the 30th Annual Network and Distributed System Security Symposium, 2023

RoVISQ: Reduction of Video Service Quality via Adversarial Attacks on Deep Learning-based Video Compression.
Proceedings of the 30th Annual Network and Distributed System Security Symposium, 2023

zPROBE: Zero Peek Robustness Checks for Federated Learning.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Adapting Skip Connections for Resource-Efficient FPGA Inference.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

ReFace: Adversarial Transformation Networks for Real-time Attacks on Face Recognition Systems.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Network, 2023

AdaGL: Adaptive Learning for Agile Distributed Training of Gigantic GNNs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

ZKROWNN: Zero Knowledge Right of Ownership for Neural Networks.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

VideoFlip: Adversarial Bit Flips for Reducing Video Service Quality.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

HELiKs: HE Linear Algebra Kernels for Secure Inference.
Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, 2023

Federated Certainty Equivalence Control for Linear Gaussian Systems with Unknown Decoupled Dynamics and Quadratic Common Cost.
Proceedings of the 59th Annual Allerton Conference on Communication, 2023

2022
Peer-to-Peer Variational Federated Learning Over Arbitrary Graphs.
IEEE J. Sel. Areas Inf. Theory, 2022

Text Revealer: Private Text Reconstruction via Model Inversion Attacks against Transformers.
CoRR, 2022

PerD: Perturbation Sensitivity-based Neural Trojan Detection Framework on NLP Applications.
CoRR, 2022

ReFace: Real-time Adversarial Attacks on Face Recognition Systems.
CoRR, 2022

An Adaptive Black-box Backdoor Detection Method for Deep Neural Networks.
CoRR, 2022

FaceSigns: Semi-Fragile Neural Watermarks for Media Authentication and Countering Deepfakes.
CoRR, 2022

Adversarial Attacks on Deep Learning-based Video Compression and Classification Systems.
CoRR, 2022

LiteTransformerSearch: Training-free On-device Search for Efficient Autoregressive Language Models.
CoRR, 2022

Backdoor Defense in Federated Learning Using Differential Testing and Outlier Detection.
CoRR, 2022

Cross-modal Adversarial Reprogramming.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022


LiteTransformerSearch: Training-free Neural Architecture Search for Efficient Language Models.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Intellectual Property (IP) Protection for Deep Learning and Federated Learning Models.
Proceedings of the IH&MMSec '22: ACM Workshop on Information Hiding and Multimedia Security, Santa Barbara, CA, USA, June 27, 2022

FastStamp: Accelerating Neural Steganography and Digital Watermarking of Images on FPGAs.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

2021
CuRTAIL: ChaRacterizing and Thwarting AdversarIal Deep Learning.
IEEE Trans. Dependable Secur. Comput., 2021

A Taxonomy of Attacks on Federated Learning.
IEEE Secur. Priv., 2021

The Fusion of Secure Function Evaluation and Logic Synthesis.
IEEE Secur. Priv., 2021

Advances and Open Problems in Federated Learning.
Found. Trends Mach. Learn., 2021

Water end-use consumption in low-income households: Evaluation of the impact of preprocessing on the construction of a classification model.
Expert Syst. Appl., 2021

AutoRank: Automated Rank Selection for Effective Neural Network Customization.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

SWANN: Small-World Architecture for Fast Convergence of Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Provably Secure Sequential Obfuscation for IC Metering and Piracy Avoidance.
IEEE Des. Test, 2021

Hardware/Algorithm Codesign for Adversarially Robust Deep Learning.
IEEE Des. Test, 2021

Machine Learning-Assisted E-jet Printing of Organic Flexible Biosensors.
CoRR, 2021

Unsupervised Information Obfuscation for Split Inference of Neural Networks.
CoRR, 2021

ESCORT: Ethereum Smart COntRacTs Vulnerability Detection using Deep Neural Network and Transfer Learning.
CoRR, 2021

TAD: Trigger Approximation based Black-box Trojan Detection for AI.
CoRR, 2021

Adversarial Deepfakes: Evaluating Vulnerability of Deepfake Detectors to Adversarial Examples.
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2021

WaveGuard: Understanding and Mitigating Audio Adversarial Examples.
Proceedings of the 30th USENIX Security Symposium, 2021

Trojan Signatures in DNN Weights.
Proceedings of the IEEE/CVF International Conference on Computer Vision Workshops, 2021

ProFlip: Targeted Trojan Attack with Progressive Bit Flips.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

HASHTAG: Hash Signatures for Online Detection of Fault-Injection Attacks on Deep Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

On the Application of Binary Neural Networks in Oblivious Inference.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

Machine Learning on Encrypted Data: Hardware to the Rescue.
Proceedings of the ASHES@CCS 2021: Proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security, 2021

COINN: Crypto/ML Codesign for Oblivious Inference via Neural Networks.
Proceedings of the CCS '21: 2021 ACM SIGSAC Conference on Computer and Communications Security, Virtual Event, Republic of Korea, November 15, 2021

Expressive Neural Voice Cloning.
Proceedings of the Asian Conference on Machine Learning, 2021

2020
Security of Microfluidic Biochip: Practical Attacks and Countermeasures.
ACM Trans. Design Autom. Electr. Syst., 2020

EncoDeep: Realizing Bit-flexible Encoding for Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2020

Design and Analysis of Secure and Dependable Automotive CPS: A Steer-by-Wire Case Study.
IEEE Trans. Dependable Secur. Comput., 2020

Enhancing Model Parallelism in Neural Architecture Search for Multidevice System.
IEEE Micro, 2020

AdaNS: Adaptive Non-Uniform Sampling for Automated Design of Compact DNNs.
IEEE J. Sel. Top. Signal Process., 2020

SynFi: Automatic Synthetic Fingerprint Generation.
IACR Cryptol. ePrint Arch., 2020

TinyGarble2: Smart, Efficient, and Scalable Yao's Garble Circuit.
IACR Cryptol. ePrint Arch., 2020

A Singular Value Perspective on Model Robustness.
CoRR, 2020

Trustworthy AI Inference Systems: An Industry Research View.
CoRR, 2020

Grand Challenges for Embedded Security Research in a Connected World.
CoRR, 2020

FlowTrojan: Insertion and Detection of Hardware Trojans on Flow-Based Microfluidic Biochips.
Proceedings of the 18th IEEE International New Circuits and Systems Conference, 2020

SpecMark: A Spectral Watermarking Framework for IP Protection of Speech Recognition Systems.
Proceedings of the Interspeech 2020, 2020

CleaNN: Accelerated Trojan Shield for Embedded Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Deep Learning Acceleration with Neuron-to-Memory Transformation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

GeneCAI: genetic evolution for acquiring compact AI.
Proceedings of the GECCO '20: Genetic and Evolutionary Computation Conference, 2020

Unified Architectural Support for Secure and Robust Deep Learning.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Developing Privacy-preserving AI Systems: The Lessons learned.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

AHEC: End-to-end Compiler Framework for Privacy-preserving Machine Learning Acceleration.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Safe Machine Learning and Defeating Adversarial Attacks.
IEEE Secur. Priv., 2019

Deep Learning on Private Data.
IEEE Secur. Priv., 2019

XONN: XNOR-based Oblivious Deep Neural Network Inference.
IACR Cryptol. ePrint Arch., 2019

MPCircuits: Optimized Circuit Generation for Secure Multi-Party Computation.
IACR Cryptol. ePrint Arch., 2019

Sub-Linear Privacy-Preserving Near-Neighbor Search.
IACR Cryptol. ePrint Arch., 2019

Multisketches: Practical Secure Sketches Using Off-the-Shelf Biometric Matching Algorithms.
IACR Cryptol. ePrint Arch., 2019

Advances and Open Problems in Federated Learning.
CoRR, 2019

Principal Component Properties of Adversarial Samples.
CoRR, 2019

Scratch that! An Evolution-based Adversarial Attack against Neural Networks.
CoRR, 2019

ASCAI: Adaptive Sampling for acquiring Compact AI.
CoRR, 2019

A Neural-based Program Decompiler.
CoRR, 2019

Decentralized Bayesian Learning over Graphs.
CoRR, 2019

SWNet: Small-World Neural Networks and Rapid Convergence.
CoRR, 2019

BlackMarks: Blackbox Multibit Watermarking for Deep Neural Networks.
CoRR, 2019

Peer-to-peer Federated Learning on Graphs.
CoRR, 2019

CodeX: Bit-Flexible Encoding for Streaming-based FPGA Acceleration of DNNs.
CoRR, 2019

Coda: An End-to-End Neural Program Decompiler.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

DeepMarks: A Secure Fingerprinting Framework for Digital Rights Management of Deep Learning Models.
Proceedings of the 2019 on International Conference on Multimedia Retrieval, 2019

DeepAttest: an end-to-end attestation framework for deep neural networks.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Universal Adversarial Perturbations for Speech Recognition Systems.
Proceedings of the Interspeech 2019, 2019

DeepInspect: A Black-box Trojan Detection and Mitigation Framework for Deep Neural Networks.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

SemiHD: Semi-Supervised Learning Using Hyperdimensional Computing.
Proceedings of the International Conference on Computer-Aided Design, 2019

FastWave: Accelerating Autoregressive Convolutional Neural Networks on FPGA.
Proceedings of the International Conference on Computer-Aided Design, 2019

GenUnlock: An Automated Genetic Algorithm Framework for Unlocking Logic Encryption.
Proceedings of the International Conference on Computer-Aided Design, 2019

SparseHD: Algorithm-Hardware Co-optimization for Efficient High-Dimensional Computing.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

FASE: FPGA Acceleration of Secure Function Evaluation.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

SimBNN: A Similarity-Aware Binarized Neural Network Acceleration Framework.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Adversarial Reprogramming of Text Classification Neural Networks.
Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, 2019

ARM2GC: Succinct Garbled Processor for Secure Computation.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

DeepSigns: An End-to-End Watermarking Framework for Ownership Protection of Deep Neural Networks.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

A Framework for Collaborative Learning in Secure High-Dimensional Space.
Proceedings of the 12th IEEE International Conference on Cloud Computing, 2019

2018
ReDCrypt: Real-Time Privacy-Preserving Deep Learning Inference in Clouds Using FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2018

Editorial for TODAES Special Issue on Internet of Things System Performance, Reliability, and Security.
ACM Trans. Design Autom. Electr. Syst., 2018

SHAIP: Secure Hamming Distance for Authentication of Intrinsic PUFs.
ACM Trans. Design Autom. Electr. Syst., 2018

P3: Privacy Preserving Positioning for Smart Automotive Systems.
ACM Trans. Design Autom. Electr. Syst., 2018

RankMap: A Framework for Distributed Learning From Dense Data Sets.
IEEE Trans. Neural Networks Learn. Syst., 2018

DeepSigns: A Generic Watermarking Framework for IP Protection of Deep Learning Models.
IACR Cryptol. ePrint Arch., 2018

Pushing the Communication Barrier in Secure Computation using Lookup Tables.
IACR Cryptol. ePrint Arch., 2018

DeepMarks: A Digital Fingerprinting Framework for Deep Neural Networks.
IACR Cryptol. ePrint Arch., 2018

Performance Comparison of Contemporary DNN Watermarking Techniques.
CoRR, 2018

Adversarial Reprogramming of Sequence Classification Neural Networks.
CoRR, 2018

RAPIDNN: In-Memory Deep Neural Network Acceleration Framework.
CoRR, 2018

AgileNet: Lightweight Dictionary-based Few-shot Learning.
CoRR, 2018

DeepFense: online accelerated defense against adversarial deep learning.
Proceedings of the International Conference on Computer-Aided Design, 2018

Assured deep learning: practical defense against adversarial attacks.
Proceedings of the International Conference on Computer-Aided Design, 2018

Privacy-preserving deep learning and inference.
Proceedings of the International Conference on Computer-Aided Design, 2018

CausaLearn: Automated Framework for Scalable Streaming-based Causal Bayesian Learning using FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

ReBNet: Residual Binarized Neural Network.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

MAXelerator: FPGA accelerator for privacy preserving multiply-accumulate (MAC) on cloud servers.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
RISE: An Automated Framework for Real-Time Intelligent Video Surveillance on FPGA.
ACM Trans. Embed. Comput. Syst., 2017

CAMsure: Secure Content-Addressable Memory for Approximate Search.
ACM Trans. Embed. Comput. Syst., 2017

Toward Practical Secure Stable Matching.
Proc. Priv. Enhancing Technol., 2017

ARM2GC: Simple and Efficient Garbled Circuit Framework by Skipping.
IACR Cryptol. ePrint Arch., 2017

DeepSecure: Scalable Provably-Secure Deep Learning.
IACR Cryptol. ePrint Arch., 2017

Chameleon: A Hybrid Secure Computation Framework for Machine Learning Applications.
IACR Cryptol. ePrint Arch., 2017

ResBinNet: Residual Binary Neural Network.
CoRR, 2017

CuRTAIL: ChaRacterizing and Thwarting AdversarIal deep Learning.
CoRR, 2017

TinyDL: Just-in-time deep learning solution for constrained embedded systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

20 Years of research on intellectual property protection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

ExtDict: Extensible Dictionaries for Data- and Platform-Aware Large-Scale Learning.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

BioChipWork: Reverse Engineering of Microfluidic Biochips.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Customizing Neural Networks for Efficient FPGA Implementation.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

LookNN: Neural network with no multiplication.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Deep3: Leveraging Three Levels of Parallelism for Efficient Deep Learning.
Proceedings of the 54th Annual Design Automation Conference, 2017

PriSearch: Efficient Search on Private Data.
Proceedings of the 54th Annual Design Automation Conference, 2017

ASHES 2017: Workshop on Attacks and Solutions in Hardware Security.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

2016
Automated Real-Time Analysis of Streaming Big and Dense Data on Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2016

Chime: Checkpointing Long Computations on Interm ittently Energized IoT Devices.
IEEE Trans. Multi Scale Comput. Syst., 2016

A Built-in-Self-Test Scheme for Online Evaluation of Physical Unclonable Functions and True Random Number Generators.
IEEE Trans. Multi Scale Comput. Syst., 2016

Sub-linear Privacy-preserving Search with Untrusted Server and Semi-honest Parties.
CoRR, 2016

DeLight: Adding Energy Dimension To Deep Neural Networks.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Robust privacy-preserving fingerprint authentication.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

GenMatch: Secure DNA compatibility testing.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

CryptoML: Secure outsourcing of big data machine learning applications.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

GarbledCPU: a MIPS processor for secure computation in hardware.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Perform-ML: performance optimized machine learning by platform and content aware customization.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Privacy preserving localization for smart automotive systems.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Invited - Things, trouble, trust: on building trust in IoT systems.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Going deeper than deep learning for massive data analytics under physical constraints.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

Design and performance analysis of secure and dependable cybercars: A steer-by-wire case study.
Proceedings of the 13th IEEE Annual Consumer Communications & Networking Conference, 2016

D2CyberSoft: A design automation tool for soft error analysis of Dependable Cybercars.
Proceedings of the 13th IEEE Annual Consumer Communications & Networking Conference, 2016

2015
Guest Editorial Special Section on Hardware Security and Trust.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

An Energy-Efficient Last-Level Cache Architecture for Process Variation-Tolerant 3D Microprocessors.
IEEE Trans. Computers, 2015

Phase Change Memory Write Cost Minimization by Data Encoding.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

RankMap: A Platform-Aware Framework for Distributed Learning from Dense Datasets.
CoRR, 2015

TinyGarble: Highly Compressed and Scalable Sequential Garbled Circuits.
Proceedings of the 2015 IEEE Symposium on Security and Privacy, 2015

Flexible Transformations For Learning Big Data.
Proceedings of the 2015 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2015

DA Systemization of Knowledge: A Catalog of Prior Forward-Looking Initiatives.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Evolving EDA Beyond its E-Roots: An Overview.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Fine-Grained Voltage Boosting for Improving Yield in Near-Threshold Many-Core Processors.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

SSketch: An Automated Framework for Streaming Sketch-Based Analysis of Big Data on FPGA.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

AHEAD: automated framework for hardware accelerated iterative data analysis.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Compacting privacy-preserving k-nearest neighbor search using logic synthesis.
Proceedings of the 52nd Annual Design Automation Conference, 2015

I Know Where You are: Proofs of Presence Resilient to Malicious Provers.
Proceedings of the 10th ACM Symposium on Information, 2015

Automated Synthesis of Optimized Circuits for Secure Computation.
Proceedings of the 22nd ACM SIGSAC Conference on Computer and Communications Security, 2015

2014
Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching.
IEEE Trans. Emerg. Top. Comput., 2014

Processor-Based Strong Physical Unclonable Functions With Aging-Based Response Tuning.
IEEE Trans. Emerg. Top. Comput., 2014

Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A Primer on Hardware Security: Models, Methods, and Metrics.
Proc. IEEE, 2014

Trustworthy Hardware [Scanning the Issue].
Proc. IEEE, 2014

Physical Unclonable Functions and Applications: A Tutorial.
Proc. IEEE, 2014

A queueing theoretic approach for performance evaluation of low-power multi-core embedded systems.
J. Parallel Distributed Comput., 2014

Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines.
IACR Cryptol. ePrint Arch., 2014

Can the SHIELD protect our integrated circuits?
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Shielding and securing integrated circuits with sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

BIST-PUF: online, hardware-based evaluation of physically unclonable circuit identifiers.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Quo vadis, PUF?: Trends and challenges of emerging physical-disorder based security.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

D2Cyber: A design automation tool for dependable cybercars.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Techniques for Foundry Identification.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

PUFatt: Embedded Platform Attestation Based on Novel Processor-Based PUFs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Efficient Power and Timing Side Channels for Physical Unclonable Functions.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2014, 2014

2013
Low-power resource binding by postsilicon customization.
ACM Trans. Design Autom. Electr. Syst., 2013

High-performance optimizations on tiled many-core embedded systems: a matrix multiplication case study.
J. Supercomput., 2013

A Timing Channel Spyware for the CSMA/CA Protocol.
IEEE Trans. Inf. Forensics Secur., 2013

Power and Timing Side Channels for PUFs and their Efficient Exploitation.
IACR Cryptol. ePrint Arch., 2013

Combined Modeling and Side Channel Attacks on Strong PUFs.
IACR Cryptol. ePrint Arch., 2013

Editorial: Low-Power, Intelligent, and Secure Solutions for Realization of Internet of Things.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

Idetic: A high-level synthesis approach for enabling long computations on transiently-powered ASICs.
Proceedings of the 2013 IEEE International Conference on Pervasive Computing and Communications, 2013

Automated checkpointing for enabling intensive applications on energy harvesting devices.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Hardware security: threat models and metrics.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

ClockPUF: physical unclonable functions based on clock networks.
Proceedings of the Design, Automation and Test in Europe, 2013

High-sensitivity hardware trojan detection using multimodal characterization.
Proceedings of the Design, Automation and Test in Europe, 2013

Balancing security and utility in medical devices?
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Heart-to-heart (H2H): authentication for implanted medical devices.
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013

CyCAR'2013: first international academic workshop on security, privacy and dependability for cybervehicles.
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013

2012
Gate Characterization Using Singular Value Decomposition: Foundations and Applications.
IEEE Trans. Inf. Forensics Secur., 2012

Provably Secure Active IC Metering Techniques for Piracy Avoidance and Digital Rights Management.
IEEE Trans. Inf. Forensics Secur., 2012

Slender PUF Protocol: A Lightweight, Robust, and Secure Authentication by Substring Matching.
Proceedings of the 2012 IEEE Symposium on Security and Privacy Workshops, 2012

Provably complete hardware Trojan detection using test point insertion.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Coding-based energy minimization for phase change memory.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

EDA for secure and dependable cybercars: challenges and opportunities.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Can EDA combat the rise of electronic counterfeiting?
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Time-Bounded Authentication of FPGAs.
IEEE Trans. Inf. Forensics Secur., 2011

A Unified Framework for Multimodal Submodular Integrated Circuits Trojan Detection.
IEEE Trans. Inf. Forensics Secur., 2011

Learning to manage combined energy supply systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Ultra-low power current-based PUF.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Hybrid heterogeneous energy supply networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Integrated circuits metering for piracy protection and digital rights management: an overview.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Integrated circuit digital rights management techniques using physical level characterization.
Proceedings of the 11th ACM Workshop on Digital Rights Management, 2011

HypoEnergy. Hybrid supercapacitor-battery power-supply optimization for Energy efficiency.
Proceedings of the Design, Automation and Test in Europe, 2011

Hybrid modeling of non-stationary process variations.
Proceedings of the 48th Design Automation Conference, 2011

FPGA-Based True Random Number Generation Using Circuit Metastability with Adaptive Feedback Control.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2011 - 13th International Workshop, Nara, Japan, September 28, 2011

Trusting the open latent IC backdoors.
Proceedings of the sixth ACM workshop on Scalable trusted computing, 2011

2010
Nonparametric combinatorial regression for shape constrained modeling.
IEEE Trans. Signal Process., 2010

A Survey of Hardware Trojan Taxonomy and Detection.
IEEE Des. Test Comput., 2010

Guest Editors' Introduction: Confronting the Hardware Trustworthiness Problem.
IEEE Des. Test Comput., 2010

Ending Piracy of Integrated Circuits.
Computer, 2010

FPGA PUF using programmable delay lines.
Proceedings of the 2010 IEEE International Workshop on Information Forensics and Security, 2010

Rapid FPGA delay characterization using clock synthesis and sparse sampling.
Proceedings of the 2011 IEEE International Test Conference, 2010

FPGA Time-Bounded Unclonable Authentication.
Proceedings of the Information Hiding - 12th International Conference, 2010

A Unified Submodular Framework for Multimodal IC Trojan Detection.
Proceedings of the Information Hiding - 12th International Conference, 2010

Provably Secure Obfuscation of Diverse Watermarks for Sequential Circuits.
Proceedings of the HOST 2010, 2010

Real time emulations: foundation and applications.
Proceedings of the 47th Design Automation Conference, 2010

Hierarchical hybrid power supply networks.
Proceedings of the 47th Design Automation Conference, 2010

2009
Techniques for Design and Implementation of Secure Reconfigurable PUFs.
ACM Trans. Reconfigurable Technol. Syst., 2009

N-version temperature-aware scheduling and binding.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

SVD-Based Ghost Circuitry Detection.
Proceedings of the Information Hiding, 11th International Workshop, 2009

Consistency-based characterization for IC Trojan detection.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Robust Stable Radiometric Fingerprinting for Frequency Reconfigurable Devices.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009

2008
Challenging benchmark for location discovery in ad hoc networks: foundations and applications.
Proceedings of the 9th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, 2008

Testing Techniques for Hardware Security.
Proceedings of the 2008 IEEE International Test Conference, 2008

Noninvasive leakage power tomography of integrated circuits by compressive sensing.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Trusted Integrated Circuits: A Nondestructive Hidden Characteristics Extraction Approach.
Proceedings of the Information Hiding, 10th International Workshop, 2008

Lightweight secure PUFs.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Post-silicon timing characterization by compressed sensing.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Circuit CAD Tools as a Security Threat.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

Designer's Hardware Trojan Horse.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

EPIC: Ending Piracy of Integrated Circuits.
Proceedings of the Design, Automation and Test in Europe, 2008

Protecting bus-based hardware IP by secret sharing.
Proceedings of the 45th Design Automation Conference, 2008

(Bio)-behavioral CAD.
Proceedings of the 45th Design Automation Conference, 2008

Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability.
Proceedings of the 45th Design Automation Conference, 2008

N-variant IC design: methodology and applications.
Proceedings of the 45th Design Automation Conference, 2008

Active control and digital rights management of integrated circuit IP cores.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Techniques for maintaining connectivity in wireless ad-hoc networks under energy constraints.
ACM Trans. Embed. Comput. Syst., 2007

Active Hardware Metering for Intellectual Property Protection and Security.
Proceedings of the 16th USENIX Security Symposium, Boston, MA, USA, August 6-10, 2007, 2007

Integration of Statistical Techniques in the Design Curriculum.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007

Hardware Security: Preparing Students for the Next Design Frontier.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007

Anti-Collusion Position Estimation in Wireless Sensor Networks.
Proceedings of the IEEE 4th International Conference on Mobile Adhoc and Sensor Systems, 2007

LaserSPECks: : laser SPECtroscopic trace-gas sensor networks - sensor integration and applications.
Proceedings of the 6th International Conference on Information Processing in Sensor Networks, 2007

Remote activation of ICs for piracy prevention and digital right management.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

CAD-based Security, Cryptography, and Digital Rights Management.
Proceedings of the 44th Design Automation Conference, 2007

2006
Sleeping Coordination for Comprehensive Sensing Using Isotonic Regression and Domatic Partitions.
Proceedings of the INFOCOM 2006. 25th IEEE International Conference on Computer Communications, 2006

2005
Behavioral synthesis techniques for intellectual property protection.
ACM Trans. Design Autom. Electr. Syst., 2005

Worst and Best-Case Coverage in Sensor Networks.
IEEE Trans. Mob. Comput., 2005

Flexible ASIC: shared masking for multiple media processors.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Probabilistic constructive optimization techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Fault Tolerance in Wireless Sensor Networks.
Proceedings of the Handbook of Sensor Networks, 2004

Localized Algorithms for Sensor Networks.
Proceedings of the Handbook of Sensor Networks, 2004

Sensor Network Architecture.
Proceedings of the Handbook of Sensor Networks, 2004

2003
Low power coordination in wireless ad-hoc networks.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

2002
Exposure in Wireless Sensor Networks: Theory and Practical Solutions.
Wirel. Networks, 2002

System-Architectures for Sensor Networks Issues, Alternatives, and Directions.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Global error-tolerant algorithms for location discovery in ad-hoc wireless Netoworks.
Proceedings of the IEEE International Conference on Acoustics, 2002

ILP-based engineering change.
Proceedings of the 39th Design Automation Conference, 2002

2001
Symbolic debugging of embedded hardware and software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Exposure in wireless Ad-Hoc sensor networks.
Proceedings of the MOBICOM 2001, 2001

Coverage Problems in Wireless Ad-hoc Sensor Networks.
Proceedings of the Proceedings IEEE INFOCOM 2001, 2001

Intellectual Property Metering.
Proceedings of the Information Hiding, 4th International Workshop, 2001

A Probabilistic Constructive Approach to Optimization Problems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

MetaCores: Design and Optimization Techniques.
Proceedings of the 38th Design Automation Conference, 2001

Hardware Metering.
Proceedings of the 38th Design Automation Conference, 2001

2000
Processors for Mobile Applications.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

Challenges and Opportunities in Broadband and Wireless Communication Designs.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Symbolic Debugging Scheme for Optimized Hardware and Software.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

GTX: the MARCO GSRC technology extrapolation system.
Proceedings of the 37th Conference on Design Automation, 2000


  Loading...