Adam Makosiej

According to our database1, Adam Makosiej authored at least 20 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
SamurAI: A Versatile IoT Node With Event-Driven Wake-Up and Embedded ML Acceleration.
IEEE J. Solid State Circuits, 2023

2021
Energy Efficient Comparator-Less Current-Mode TFET-CMOS Co-Integrated Scalable Flash ADC.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

2020
SamurAI: A 1.7MOPS-36GOPS Adaptive Versatile IoT Node with 15, 000× Peak-to-Idle Power Reduction, 207ns Wake-Up Time and 1.3TOPS/W ML Efficiency.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

2018
Related references for extended TED submission (uDRAM and uSRAM reference papers).
Dataset, December, 2018

Energy-Efficient 4T SRAM Bitcell with 2T Read-Port for Ultra-Low-Voltage Operations in 28 nm 3D Monolithic CoolCubeTM Technology.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

2017
Tunnel FET based ultra-low-leakage compact 2T1C SRAM.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

1.56GHz/0.9V energy-efficient reconfigurable CAM/SRAM using 6T-CMOS bitcell.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

Tunnel FET based refresh-free-DRAM.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
TFET NDR skewed inverter based sensing method.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Ultra-Low-Power compact TFET Flip-Flop design for high-performance low-voltage applications.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Ultra-compact SRAM design using TFETs for low power low voltage applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

16Kb hybrid TFET/CMOS reconfigurable CAM/SRAM array based on 9T-TFET bitcell.
Proceedings of the 46th European Solid-State Device Research Conference, 2016

3T-TFET bitcell based TFET-CMOS hybrid SRAM design for Ultra-Low Power applications.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Sub-picowatt retention mode TFET memory for CMOS sensor processing nodes.
Proceedings of the 6th International Workshop on Advances in Sensors and Interfaces, 2015

Ultra-low leakage sub-32nm TFET/CMOS hybrid 32kb pseudo DualPort scratchpad with GHz speed for embedded applications.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2013
CMOS SRAM scaling limits under optimum stability constraints.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
A 32nm tunnel FET SRAM for ultra low leakage.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2009
SRAM Voltage and Current Sense Amplifiers in sub-32nm Double-gate CMOS Insensitive to Process Variations and Transistor Mismatch.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
An innovative sub-32nm SRAM voltage sense amplifier in double-gate CMOS insensitive to process variations and transistor mismatch.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008


  Loading...