Sorin Cotofana

Orcid: 0000-0001-7132-2291

Affiliations:
  • Delft University of Technology, Netherlands


According to our database1, Sorin Cotofana authored at least 164 papers between 1996 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2017, "For contributions to nanocomputing architectures and paradigms".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Two Cascaded Spin Wave Majority Gates Operation Under Continuous and Pulse Modes.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

Spin Wave Threshold Gate.
CoRR, 2024

Spintronic logic: from transducers to logic gates and circuits.
CoRR, 2024

2023
Roadmap for Unconventional Computing with Nanotechnology.
CoRR, 2023

Spin Wave Threshold Logic Gates.
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, 2023

2022
Spin Wave Based Approximate Computing.
IEEE Trans. Emerg. Top. Comput., 2022

Non-Binary Spin Wave Based Circuit Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Would Magnonic Circuits Outperform CMOS Counterparts?
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

2021
Efficient Computation Reduction in Bayesian Neural Networks Through Feature Decomposition and Memorization.
IEEE Trans. Neural Networks Learn. Syst., 2021

Spin Wave Normalization Toward All Magnonic Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Graphene-Based Artificial Synapses with Tunable Plasticity.
ACM J. Emerg. Technol. Comput. Syst., 2021

Achieving Wave Pipelining in Spin Wave Technology.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Spin Wave Based Full Adder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Spin Wave Based 4-2 Compressor.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Fan-out of 2 Triangle Shape Spin Wave Logic Gates.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Reliability Aware Design and Lifetime Management of Computing Platforms.
IEEE Trans. Emerg. Top. Comput., 2020

A Self-Matching Complementary-Reference Sensing Scheme for High-Speed and Reliable Toggle Spin Torque MRAM.
IEEE Trans. Circuits Syst., 2020

2-Output Spin Wave Programmable Logic Gate.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Ultra-Compact, Entirely Graphene-Based Nonlinear Leaky Integrate-and-Fire Spiking Neuron.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Memristive Oscillatory Circuits for Resolution of NP-Complete Logic Puzzles: Sudoku Case.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Memory-Efficient Dataflow Inference for Deep CNNs on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2020

4-output Programmable Spin Wave Logic Gate.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Evolutionary bin packing for memory-efficient dataflow inference acceleration on FPGA.
Proceedings of the GECCO '20: Genetic and Evolutionary Computation Conference, 2020

n-bit Data Parallel Spin Wave Logic Gate.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
On Basic Boolean Function Graphene Nanoribbon Conductance Mapping.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Graphene Nanoribbon-based Synapses with Versatile Plasticity.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Atomistic-Level Hysteresis-Aware Graphene Structures Electron Transport Model.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Pragmatic Gaze on Stochastic Resonance Based Variability Tolerant Memristance Enhancement.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
Low-Leakage 3D Stacked Hybrid NEMFET-CMOS Dual Port Memory.
IEEE Trans. Emerg. Top. Comput., 2018

Complementary Arranged Graphene Nanoribbon-based Boolean Gates.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

On Carving Basic Boolean Functions on Graphene Nanoribbons Conduction Maps.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
High-Performance, Cost-Effective 3D Stacked Wide-Operand Adders.
IEEE Trans. Emerg. Top. Comput., 2017

Towards Maximum Utilization of Remained Bandwidth in Defected NoC Links.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Haar-based interconnect coding for energy effective medium/long range data transport.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Fast and accurate workload-level neural network based IC energy consumption estimation.
Proceedings of the 14th International Conference on Synthesis, 2017

Low cost multi-error correction for 3D polyhedral memories.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

LDPC-Based Adaptive Multi-Error Correction for 3D Memories.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

A Mixed-Size Monolithic 3D Placer with 2D Layout Inheritance.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
Brownian Circuits: Designs.
Int. J. Unconv. Comput., 2016

A supply voltage-dependent variation aware reliability evaluation model.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Error Correction Code protected Data Processing Units.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

TFET NDR skewed inverter based sensing method.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Flexible, Cost-Efficient, High-Throughput Architecture for Layered LDPC Decoders with Fully-Parallel Processing Units.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

2015
Inverse Gaussian distribution based timing analysis of Sub-threshold CMOS circuits.
Microelectron. Reliab., 2015

A shared polyhedral cache for 3D wide-I/O multi-core computing platforms.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Low cost and energy, thermal noise driven, probability modulated random number generator.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

ROST-C: Reliability driven optimisation and synthesis techniques for combinational circuits.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Dynamic Bitstream Length Scaling Energy Effective Stochastic LDPC Decoding.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Hybrid adaptive clock management for FPGA processor acceleration.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Enabling vertical wormhole switching in 3D NoC-bus hybrid systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Asynchronous Charge Sharing Power Consistent Montgomery Multiplier.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015

2014
Analysis of the impact of spatial and temporal variations on the stability of SRAM arrays and the mitigation technique using independent-gate devices.
J. Parallel Distributed Comput., 2014

Critical transistors nexus based circuit-level aging assessment and prediction.
J. Parallel Distributed Comput., 2014

Efficient Method for Designing Modulo {2<sup>n</sup> ± k} Multipliers.
J. Circuits Syst. Comput., 2014

Transmission Channel Noise Aware Energy Effective LDPC Decoding.
Proceedings of the VLSI-SoC: Internet of Things Foundations, 2014

Towards energy effective LDPC decoding by exploiting channel noise variability.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Robust sub-powered asynchronous logic.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Energy effective 3D stacked hybrid NEMFET-CMOS caches.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

Link Bandwidth Aware Backtracking Based Dynamic Task Mapping in NoC based MPSoCs.
Proceedings of the 2014 International Workshop on Network on Chip Architectures, 2014

Linear Compositional Delay Model for the Timing Analysis of Sub-Powered Combinational Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Towards an Effective Utilization of Partially Defected Interconnections in 2D Mesh NoCs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

An efficient residue-to-binary converter for the new moduli set {2<sup>n/2</sup> ± 1, 2<sup>2n+1</sup>, 2<sup>n</sup> + 1}.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

2013
A nonlinear degradation path dependent end-of-life estimation framework from noisy observations.
Microelectron. Reliab., 2013

A direct measurement scheme of amalgamated aging effects with novel on-chip sensor.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

A low cost method to tolerate soft errors in the NoC router control plane.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013

Towards heterogenous 3D-stacked reliable computing with von Neumann multiplexing.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Ultra low power NEMFET based logic.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Lifetime reliability assessment with aging information from low-level sensors.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

An Effective Routing Algorithm to Avoid Unnecessary Link Abandon in 2D Mesh NoCs.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Is TSV-based 3D integration suitable for inter-die memory repair?
Proceedings of the Design, Automation and Test in Europe, 2013

3D stacked wide-operand adders: A case study.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

An effective New CRT based reverse converter for a novel moduli set {2<sup>2n+1</sup> - 1, 2<sup>2n+1</sup>, 2<sup>2n</sup> - 1}.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

2012
Variation tolerant on-chip degradation sensors for dynamic reliability management systems.
Microelectron. Reliab., 2012

Context aware slope based transistor-level aging model.
Microelectron. Reliab., 2012

Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms.
Proceedings of the Workshop on Software and Compilers for Embedded Systems, 2012

A Novel Flit Serialization Strategy to Utilize Partially Faulty Links in Networks-on-Chip.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Zero-performance-overhead online fault detection and diagnosis in 3D stacked integrated circuits.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Stigmergic search with single electron tunneling technology based memory enhanced hubs.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

A Markovian, variation-aware circuit-level aging model.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Statistical reliability analysis of NBTI impact on FinFET SRAMs and mitigation technique using independent-gate devices.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Is the road towards "Zero-Energy" paved with NEMFET-based power management?
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A 3D stacked high performance scalable architecture for 3D Fourier Transform.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Embedded computer architecture laboratory: a hands-on experience programming embedded systems with resource and energy constraints.
Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education, 2012

2011
An Efficient FPGA Design of Residue-to-Binary Converter for the Moduli Set 2n+1, 2n, 2n-1.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Functional unit sharing between stacked processors in 3D integrated systems.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Towards "zero-energy" using NEMFET-based power management for 3D hybrid stacked ICs.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

A unified aging model of NBTI and HCI degradation towards lifetime reliability management for nanoscale MOSFET circuits.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Analysis of delay mismatching of digital circuits caused by common environmental fluctuations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Composable local memory organisation for streaming applications on embedded MPSoCs.
Proceedings of the 8th Conference on Computing Frontiers, 2011

2010
An improved RNS reverse converter for the {2<sup>2n+1</sup>-1, 2<sup>n</sup>, 2<sup>n</sup>-1} moduli set.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A unified addition structure for moduli set {2<sup>n</sup>-1, 2<sup>n</sup>, 2<sup>n</sup>+1} based on a novel RNS representation.
Proceedings of the 28th International Conference on Computer Design, 2010

Memoryless RNS-to-binary converters for the {2<sup>n+1</sup> - 1, 2<sup>n</sup>, 2<sup>n</sup> - 1} moduli set.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010

2009
Compositional, Dynamic Cache Management for Embedded Chip Multiprocessors.
J. Signal Process. Syst., 2009

Emerging non-CMOS nanoelectronic devices - What are they?.
Proceedings of the 4th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2009

Can SG-FET Replace FET in Sleep Mode Circuits?
Proceedings of the Nano-Net - 4th International ICST Conference, 2009

Adaptive Clock Scheduling for pipelined structures.
Proceedings of the 2009 IEEE/ACM International Symposium on Nanoscale Architectures, 2009

An O(n) Residue Number System to Mixed Radix Conversion Technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A reverse converter for the new 4-moduli set {2n + 3, 2n + 2, 2n + 1, 2n}.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
GRAAL: A Framework for Low-Power 3D Graphics Accelerators.
IEEE Computer Graphics and Applications, 2008

Single Electron Tunneling Delay Insensitive and fluctuation based computation paradigms and circuits.
Proceedings of the 2008 IEEE International Symposium on Nanoscale Architectures, 2008

Bitstream compression techniques for Virtex 4 FPGAs.
Proceedings of the FPL 2008, 2008

Compositional, dynamic cache management for embedded chip multiprocessors.
Proceedings of the Design, Automation and Test in Europe, 2008

Generalized matrix method for efficient residue to decimal conversion.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

A residue to binary converter for the {2n + 2, 2n + 1, 2n} moduli set.
Proceedings of the 42nd Asilomar Conference on Signals, Systems and Computers, 2008

2007
Static Cache Partitioning Robustness Analysis for Embedded On-Chip Multi-processors.
Trans. High Perform. Embed. Archit. Compil., 2007

2006
Throughput optimization via cache partitioning for embedded multiprocessors.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

High-Radix Addition and Multiplication in the Electron Counting Paradigm Using Single Electron Tunneling Technology.
Proceedings of the Embedded Computer Systems: Architectures, 2006

Electron counting based high-radix multiplication in single electron tunneling technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Consciousness for modeling intelligence - simulating the evolution by closure to the inverse.
Proceedings of the ICINCO 2006, 2006

Compositional, efficient caches for a chip multi-processor.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
IEEE-Compliant IDCT on FPGA-Augmented TriMedia.
J. VLSI Signal Process., 2005

Addition Related Arithmetic Operations via Controlled Transport of Charge.
IEEE Trans. Computers, 2005

Compositional Memory Systems for Multimedia Communicating Tasks.
Proceedings of the 2005 Design, 2005

High Radix Addition Via Conditional Charge Transport in Single Electron Tunneling Technology.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

CONAN - A Design Exploration Framework for Reliable Nano-Electronics.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

2004
Pel reconstruction on FPGA-augmented TriMedia.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Analog-to-digital converter based on single-electron tunneling transistors.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Single-electron tunneling transistor implementation of periodic symmetric functions.
IEEE Trans. Circuits Syst. II Express Briefs, 2004

High-Level Energy Estimation for ARM-Based SOCs.
Proceedings of the Computer Systems: Architectures, 2004

Delay Evaluation of High Speed Data-Path Circuits Based on Threshold Logic.
Proceedings of the Integrated Circuit and System Design, 2004

Logical Effort Based Design Exploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

Low cost and latency embedded 3D graphics reciprocation.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Analysis of analog to digital converter based on single-electron tunnelling transistors.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Compositional Memory Systems for Data Intensive Applications.
Proceedings of the 2004 Design, 2004

GRAAL - A Development Framework for Embedded Graphics Accelerators.
Proceedings of the 2004 Design, 2004

Efficient Hardware for Antialiasing Coverage Mask Generation.
Proceedings of the 2004 Computer Graphics International (CGI 2004), 16-19 June 2004, 2004

Binary Multiplication based on Single Electron Tunneling.
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004

2003
Microcode Processing: Positioning and Directions.
IEEE Micro, 2003

Evaluation Methodology for Single Electron Encoded Threshold Logic Gates.
Proceedings of the VLSI-SOC: From Systems to Chips, 2003

D-SAB: A Sparse Matrix Benchmark Suite.
Proceedings of the Parallel Computing Technologies, 2003

CMOS Implementation of Generalized Threshold Functions.
Proceedings of the Artificial Neural Nets Problem Solving Methods, 2003

A-DELTA: A 64-bit High Speed, Compact, Hybrid Dynamic-CMOS/Threshold-Logic Adder.
Proceedings of the Artificial Neural Nets Problem Solving Methods, 2003

Design and experimental results of a CMOS flip-flop featuring embedded threshold logic.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Area efficient, high speed parallel counter circuits using charge recycling threshold logic.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A Hierarchical Sparse Matrix Storage Format for Vector Processors.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Color Space Conversion for MPEG decoding on FPGA-augmented TriMedia Processor.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003

On Computing Addition Related Arithmetic Operations via Controlled Transport of Charge.
Proceedings of the 16th IEEE Symposium on Computer Arithmetic (Arith-16 2003), 2003

2002
Microcoded Reconfigurable Embedded Processors: Current Developments.
Proceedings of the Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation, 2002

A Reconfigurable Functional Unit for TriMedia/CPU64. A Case Study.
Proceedings of the Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation, 2002

A low-power threshold logic family.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

A full adder implementation using SET based linear threshold gates.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

Alternatives in FPGA-based SAD implementations.
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002

Field-Programmable Custom Computing Machines - A Taxonomy -.
Proceedings of the Field-Programmable Logic and Applications, 2002

MPEG-Compliant Entropy Decoding on FPGA-Augmented TriMedia/CPU64.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002

A Sum of Absolute Differences Implementation in FPGA Hardware.
Proceedings of the 28th EUROMICRO Conference 2002, 4-6 September 2002, Dortmund, Germany, 2002

Hierarchical Intellignet Mixed Simulation.
Proceedings of the 16<sup>th</sup> European Simulation Multiconference: Modelling and Simulation 2002, 2002

2001
Coarse Reconfigurable Multimedia Unit Extension.
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001

MPEG Macroblock Parsing and Pel Reconstruction On An FPGA-Augmented TriMedia Processor.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

The MOLEN rho-mu-Coded Processor.
Proceedings of the Field-Programmable Logic and Applications, 2001

An 8x8 IDCT Implementation on an FPGA-Augmented TriMedia.
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001

Topic 15+20: Multimedia and Embedded Systems.
Proceedings of the Euro-Par 2001: Parallel Processing, 2001

2000
Signed Digit Addition and Related Operations with Threshold Logic.
IEEE Trans. Computers, 2000

General-Purpose Processor Huffman Encoding Extension.
Proceedings of the 2000 International Symposium on Information Technology (ITCC 2000), 2000

Multimedia Enhanced General-Purpose Processors.
Proceedings of the 2000 IEEE International Conference on Multimedia and Expo, 2000

Hashed Addressed Caches for Embedded Pointer Based Codes (Research Note).
Proceedings of the Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29, 2000

Counter Based Superscalar Instruction Issuing.
Proceedings of the 26th EUROMICRO 2000 Conference, 2000

Hierarchical interfaces for hardware software systems.
Proceedings of the 14<sup>th</sup> European Simulation Multiconference, 2000

1999
Serial binary multiplication with feed-forward neural networks.
Neurocomputing, 1999

Vector ISA Extension for Sparse Matrix-Vector Multiplication.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

1998
Periodic symmetric functions, serial addition, and multiplication with neural networks.
IEEE Trans. Neural Networks, 1998

On the Design Complexity of the Issue Logic of Superscalar Machines.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

1996
delta-Bit serial binary addition with linear threshold networks.
J. VLSI Signal Process., 1996

2-1 Additions and Related Arithmetic Operations with Threshold Logic.
IEEE Trans. Computers, 1996

Serial Binary Addition with Polynominally Bounded Weights.
Proceedings of the Artificial Neural Networks, 1996


  Loading...