Anshul Kumar

Orcid: 0000-0002-3871-5402

According to our database1, Anshul Kumar authored at least 99 papers between 1980 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A survey on emotion-cause extraction in psychological text using deep learning methods.
Prog. Artif. Intell., December, 2023

Cost Optimization of an Unreliable server queue with two stage service process under hybrid vacation policy.
Math. Comput. Simul., 2023

2022
Game Theory-Based Parameter Tuning for Energy-Efficient Path Planning on Modern UAVs.
ACM Trans. Cyber Phys. Syst., 2022

Performance and Power Prediction for Concurrent Execution on GPUs.
ACM Trans. Archit. Code Optim., 2022

Emotion detection in psychological texts by fine-tuning BERT using emotion-cause pair extraction.
Int. J. Speech Technol., 2022

Effect of disaster and balking on M/M/1 driven fluid queue with working vacation.
Int. J. Math. Oper. Res., 2022

Minimizing Off-Chip Memory Access for CNN Accelerators.
IEEE Consumer Electron. Mag., 2022

PredStereo: An Accurate Real-time Stereo Vision System.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022

SACC: Split and Combine Approach to Reduce the Off-chip Memory Accesses of LSTM Accelerators.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Performance-Energy Trade-off in Modern CMPs.
ACM Trans. Archit. Code Optim., 2021

Accelerating CNN Inference on ASICs: A Survey.
J. Syst. Archit., 2021

The application of predictive analytics to identify at-risk students in health professions education.
CoRR, 2021

Game Theory-Based Parameter-Tuning for Path Planning of UAVs.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

2020
VisSched: An Auction-Based Scheduler for Vision Workloads on Heterogeneous Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Bus Width Aware Off-Chip Memory Access Minimization for CNN Accelerators.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Performance Prediction for Multi-Application Concurrency on GPUs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020

2019
ML Guided Energy-Performance Trade-Off Estimation For Uncore Frequency Scaling.
Proceedings of the 2019 Spring Simulation Conference, 2019

2018
Performance-Energy Trade-off in CMPs with Per-Core DVFS.
Proceedings of the Architecture of Computing Systems - ARCS 2018, 2018

2017
PLSS: A Scheduler for Multi-core Embedded Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2017, 2017

2016
Impact of crosstalk and process variation on capture power reduction for at-speed test.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

2014
Shared-port register file architecture for low-energy VLIW processors.
ACM Trans. Archit. Code Optim., 2014

2013
High performance 3D-FFT implementation.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Exploiting UML based validation for compliance checking of TLM 2 based models.
Des. Autom. Embed. Syst., 2012

2011
Strap-down Pedestrian Dead-Reckoning system.
Proceedings of the 2011 International Conference on Indoor Positioning and Indoor Navigation, 2011

A UML based framework for efficient validation of TLM 2 models.
Proceedings of the 2011 Forum on Specification & Design Languages, 2011

A SysML Profile for Development and Early Validation of TLM 2.0 Models.
Proceedings of the Modelling Foundations and Applications - 7th European Conference, 2011

Exploiting temporal decoupling to accelerate trace-driven NoC emulation.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

2010
Front-End Design Flows for Systems on Chip: An Embedded Tutorial.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Instruction Selection in ASIP Synthesis Using Functional Matching.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

FastFwd: an efficient hardware acceleration technique for trace-driven network-on-chip simulation.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

A high-level synthesis flow for custom instruction set extensions for application-specific processors.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
An Index-Based Mobile Checkpointing and Recovery Algorithm.
Proceedings of the Distributed Computing and Networking, 10th International Conference, 2009

2008
A Novel Approach to Compute Spatial Reuse in the Design of Custom Instructions.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

2007
Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures.
ACM Trans. Design Autom. Electr. Syst., 2007

Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures.
Int. J. Parallel Program., 2007

Application Specific Datapath Extension with Distributed I/O Functional Units.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Power Reduction in VLIW Processor with Compiler Driven Bypass Network.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Recurring Pattern Identification and its Application to Instruction Set Extension.
Proceedings of the 2007 International Conference on Computer Design, 2007

2006
Rapid Resource-Constrained Hardware Performance Estimation.
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006

Battery aware dynamic scheduling for periodic task graphs.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

2005
Battery Model for Embedded Systems.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Integrated On-Chip Storage Evaluation in ASIP Synthesis.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

2004
An efficient technique for exploring register file size in ASIP design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Performance Analysis of Inter Cluster Communication Methods in VLIW Architecture.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Real Time Dynamic Voltage Scaling For Embedded Systems.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Synthesis of Application Specific Multiprocessor Architectures for Process Networks.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units.
Proceedings of the Software and Compilers for Embedded Systems, 8th International Workshop, 2004

Automatic synthesis of system on chip multiprocessor architectures for process networks.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

2003
Hybrid Multi-FPGA Board Evaluation by Permitting Limited Multi-Hop Routing.
Des. Autom. Embed. Syst., 2003

SoC Synthesis with Automatic Hardware Software Interface Generation.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Exploring Storage Organization in ASIP Synthesis.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

2002
A New Divide and Conquer Method for Achieving High Speed Division in Hardware.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Exploring the Number of Register Windows in ASIP Synthesis.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Hybrid Multi-FPGA Board Evaluation by Limiting Multi-Hop Routing.
Proceedings of the 13th IEEE International Workshop on Rapid System Prototyping (RSP 2002), 2002

A New Performance Evaluation Approach for System Level Design Space Exploration.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

A Trimaran Based Framework for Exploring the Design Space of VLIW ASIPs with Coarse Grain Functional Units.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Multi-hop routing of multi-terminal nets for evaluation of hybrid multi-FPGA boards.
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002

An efficient technique for exploring register file size in ASIP synthesis.
Proceedings of the International Conference on Compilers, 2002

2001
Integrating Communication Cost Estimation in Embedded Systems Design : A PCI Case Study.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

ASIP Design Methodologies : Survey and Issues.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Synthesizing A Long Latency Unit Within Vliw Processor.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

2000
Grammar-based hardware synthesis from port-size independent specifications.
IEEE Trans. Very Large Scale Integr. Syst., 2000

A scheme for multiple on-chip signature checking for embedded SRAMS.
J. Syst. Archit., 2000

nterface Synthesis: Issues and Approaches.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Evaluation of Various Routing Architectures for Multi-FPGA Boards.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Efficient Embedding of Partitioned Circuits onto Multi-FPGA Boards.
Proceedings of the Field-Programmable Logic and Applications, 2000

1999
Built-in Self Test Based on Multiple On-Chip Signature Checking.
J. Electron. Test., 1999

1998
Direct mapping of RTL structures onto LUT-based FPGA's.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Optimization of Mutual and Signature Testing Schemes for Highly Concurrent Systems.
J. Electron. Test., 1998

An Object-Oriented Concept for Intelligent Library Functions.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Speeding Up Program Execution Using Reconfigurable Hardware and a Hardware Function Library.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

On-Chip Signature Checking for Embedded Memories.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Hybrid Testing Schemes Based on Mutual and Signature Testing.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Specification of Exception Handling in Grammar-Based Hardware Synthesis.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Scheduling of Outputs in Grammar-based Hardware Synthesis of Data Communication Protocols.
Proceedings of the 1998 Design, 1998

1997
Optimal Clock Period for Synthesized Data Paths.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

Efficient Implementation of Multiple On-Chip Signature Checking.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
A multiplier generator for Xilinx FPGAs.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

A Novel BIST Architecture With Built-in Self Check.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Grammar-Based Hardware Synthesis of Data Communication Protocols.
Proceedings of the 9th International Symposium on System Synthesis, 1996

1995
An HOL based framework for design of correct high level synthesizers.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Heuristic search based approach to scheduling, allocation and binding in Data Path Synthesis.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Circuit partitioning with partial order for mixed simulation emulation environment.
Proceedings of the Sixth IEEE International Workshop on Rapid System Prototyping (RSP '95), 1995

Delay Minimal Mapping of RTL Structures onto LUT Based FPGAs.
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995

1994
FAST: FPGA Targeted RTL Structure Synthesis Technique.
Proceedings of the Seventh International Conference on VLSI Design, 1994

An Efficient Technique for Mapping RTL Structures onto FPGAs.
Proceedings of the Field-Programmable Logic, 1994

1993
DESSERT: Design Space Exploration of RT Level Components.
Proceedings of the Sixth International Conference on VLSI Design, 1993

High Level Design Experiences with IDEAS.
Proceedings of the Sixth International Conference on VLSI Design, 1993

1992
Data Path Synthesis With Global Time Constraint.
Proceedings of the Fifth International Conference on VLSI Design, 1992

A Partitioning Scheme For Multiple Pla Based Control Part Synthesis In Ideas.
Proceedings of the Fifth International Conference on VLSI Design, 1992

1989
Ideas: a tool for VLSI CAD.
IEEE Des. Test, 1989

Automatic Synthesis of Microprogrammed Control Units from Behavioral Descriptions.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1986
Automatic Generation of Digital System Schematic Diagrams.
IEEE Des. Test, 1986

1985
An automated data path synthesizer for a canonic structure, implementable in VLSI.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

1984
Recognition of consonants using an ARMA model of the speech signal.
Proceedings of the IEEE International Conference on Acoustics, 1984

1983
A methodology for custom VLSI layout.
IEEE Trans. Syst. Man Cybern., 1983

1980
A Structured Language for CAD of Digital Systems.
Proceedings of the 7th Annual Symposium on Computer Architecture, 1980


  Loading...