D. Michael Miller

Orcid: 0000-0002-4140-3370

Affiliations:
  • University of Victoria, Canada


According to our database1, D. Michael Miller authored at least 93 papers between 1976 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2021
Function translations and search-based transformation for MVL reversible circuit synthesis.
Sci. Comput. Program., 2021

Descending Order Transformation-based Synthesis of MVL Reversible Circuits.
Proceedings of the 51st IEEE International Symposium on Multiple-Valued Logic, 2021

2020
A Spectral Algorithm for 3-valued Function Equivalence Classification.
J. Multiple Valued Log. Soft Comput., 2020

Search-Based Transformation Synthesis for 3-Valued Reversible Circuits.
Proceedings of the Reversible Computation - 12th International Conference, 2020

Fast Minimization of Polynomial Decomposition using Fixed-Polarity Pascal Transforms.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

2019
A Hybrid Method for Spectral Translation Equivalent Boolean Functions.
Proceedings of the IEEE Pacific Rim Conference on Communications, 2019

2018
A Spectral Algorithm for Ternary Function Classification.
Proceedings of the 48th IEEE International Symposium on Multiple-Valued Logic, 2018

2016
QMDDs: Efficient Quantum Function Representation and Manipulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Embedding of Large Boolean Functions for Reversible Logic.
ACM J. Emerg. Technol. Comput. Syst., 2016

Using SPIN to Check Simulink Stateflow Models.
Int. J. Networked Distributed Comput., 2016

A Fast Symbolic Transformation Based Algorithm for Reversible Logic Synthesis.
Proceedings of the Reversible Computation - 8th International Conference, 2016

An extension of transformation-based reversible and quantum circuit synthesis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Self-Inverse Functions and Palindromic Circuits.
CoRR, 2015

Using SPIN to Check Nondeterministic Simulink Stateflow Models.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

Using QMDD in Numerical Methods for Solving Linear Differential Equations via Walsh Functions.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

2014
Reversible, Information-Preserving Logic and Its Application.
J. Multiple Valued Log. Soft Comput., 2014

Trading off circuit lines and gate costs in the synthesis of reversible logic.
Integr., 2014

Mapping NCV Circuits to Optimized Clifford+T Circuits.
Proceedings of the Reversible Computation - 6th International Conference, 2014

2013
On quantum circuits employing roots of the Pauli matrices.
CoRR, 2013

Clarification on the Mapping of Reversible Circuits to the NCV-v1 Library.
CoRR, 2013

2012
Synthesis of Semi-Classical Quantum Circuits.
J. Multiple Valued Log. Soft Comput., 2012

Equivalence Checking of Reversible Circuits.
J. Multiple Valued Log. Soft Comput., 2012

Mapping a Multiple-control Toffoli Gate Cascade to an Elementary Quantum Gate Circuit.
J. Multiple Valued Log. Soft Comput., 2012

Reducing Reversible Circuit Cost by Adding Lines.
J. Multiple Valued Log. Soft Comput., 2012

High Speed Genetic Algorithms in Quantum Logic Synthesis: Low Level Parallelization vs. Representation?
J. Multiple Valued Log. Soft Comput., 2012

Reversible and Quantum Circuit Optimization: A Functional Approach.
Proceedings of the Reversible Computation, 4th International Workshop, 2012

Optimizing the Mapping of Reversible Circuits to Four-Valued Quantum Gate Circuits.
Proceedings of the 42nd IEEE International Symposium on Multiple-Valued Logic, 2012

Recent Developments on Mapping Reversible Circuits to Quantum Gate Libraries.
Proceedings of the International Symposium on Electronic System Design, 2012

Realizing reversible circuits using a new class of quantum gates.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Transforming MCT Circuits to NCVW Circuits.
Proceedings of the Reversible Computation - Third International Workshop, 2011

Elementary Quantum Gate Realizations for Multiple-Control Toffoli Gates.
Proceedings of the 41st IEEE International Symposium on Multiple-Valued Logic, 2011

Information-Preserving Logic Based on Logical Reversibility to Reduce the Memory Data Transfer Bottleneck and Heat Dissipation.
Proceedings of the 41st IEEE International Symposium on Multiple-Valued Logic, 2011

2010
Heterogeneous Decision Diagrams for Applications in Harmonic Analysis on Finite Non-Abelian Groups.
Proceedings of the 40th IEEE International Symposium on Multiple-Valued Logic, 2010

2009
Minimization of Quantum Multiple-valued Decision Diagrams Using Data Structure Metrics.
J. Multiple Valued Log. Soft Comput., 2009

A Heterogeneous Decision Diagram Package.
Proceedings of the Computer Aided Systems Theory, 2009

Synthesizing Reversible Circuits for Irreversible Functions.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

2008
Quantum Circuit Simplification and Level Compaction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

DDMF: An Efficient Decision Diagram Structure for Design Verification of Quantum Circuits under a Practical Restriction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Quantum Logic Implementation of Unary Arithmetic Operations.
Proceedings of the 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 2008

On the Data Structure Metrics of Quantum Multiple-Valued Decision Diagrams.
Proceedings of the 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 2008

Partially Redundant Logic Detection Using Symbolic Equivalence Checking in Reversible and Irreversible Logic Circuits.
Proceedings of the Design, Automation and Test in Europe, 2008

An efficient verification of quantum circuits under a practical restriction.
Proceedings of 8th IEEE International Conference on Computer and Information Technology, 2008

Multiple Valued Logic - Concepts and Representations.
Synthesis lectures on digital circuits and systems 12, Morgan & Claypool Publishers, ISBN: 978-1-59829-190-2, 2008

2007
Multiple Valued Logic: Concepts and Representations
Synthesis Lectures on Digital Circuits and Systems, Morgan & Claypool Publishers, ISBN: 978-3-031-79779-8, 2007

Techniques for the synthesis of reversible Toffoli networks.
ACM Trans. Design Autom. Electr. Syst., 2007

QMDD Minimization Using Sifting for Variable Reordering.
J. Multiple Valued Log. Soft Comput., 2007

Comparison of the cost metrics through investigation of the relation between optimal NCV and optimal NCT three-qubit reversible circuits.
IET Comput. Digit. Tech., 2007

Variable Reordering and Sifting for QMDD.
Proceedings of the 37th International Symposium on Multiple-Valued Logic, 2007

2006
Synthesis of Quantum Multiple-Valued Circuits.
J. Multiple Valued Log. Soft Comput., 2006

QMDD: A Decision Diagram Structure for Reversible and Quantum Circuits.
Proceedings of the 36th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2006), 2006

A Decision Diagram Package for Reversible and Quantum Circuit Simulation.
Proceedings of the IEEE International Conference on Evolutionary Computation, 2006

2005
Synthesis of Fredkin-Toffoli reversible networks.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Toffoli network synthesis with templates.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Quantum Circuit Simplification Using Templates.
Proceedings of the 2005 Design, 2005

2004
Computation of Discrete Function Chrestenson Spectrum Using Cayley Color Graphs.
J. Multiple Valued Log. Soft Comput., 2004

A Synthesis Method for MVL Reversible Logi.
Proceedings of the 34th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2004), 2004

2003
Introduction: Special Issue in Recognition of Kenneth C. Smith.
J. Multiple Valued Log. Soft Comput., 2003

Simplification of Toffoli Networks via Templates.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

Augmented Sifting of Multiple-Valued Decision Diagrams.
Proceedings of the 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 2003

On the Size of Multiple-Valued Decision Diagrams.
Proceedings of the 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 2003

Fredkin/Toffoli Templates for Reversible Logic Synthesis.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

A transformation based algorithm for reversible logic synthesis.
Proceedings of the 40th Design Automation Conference, 2003

2002
Multi-Output Timed Shannon Circuits.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Chrestenson Spectrum Computation Using Cayley Color Graphs.
Proceedings of the 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2002), 2002

On the Construction of Multiple-Valued Decision Diagrams.
Proceedings of the 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2002), 2002

Computing walsh, arithmetic, and reed-muller spectral decision diagrams using graph transformations.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

2001
Spectral techniques in VLSI CAD.
Kluwer, ISBN: 978-0-7923-7433-6, 2001

2000
Logic Synthesis of Controllers for B-Ternary Asynchronous Systems.
Proceedings of the 30th IEEE International Symposium on Multiple-Valued Logic, 2000

TOP: An Algorithm for Three-Level Optimization of PLDs.
Proceedings of the 2000 Design, 2000

1999
B-ternary Logic Based Asynchronous Micropipeline.
Proceedings of the 29th IEEE International Symposium on Multiple-Valued Logic, 1999

1998
An improved method for computing a generalized spectral coefficient.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Minimal Test Set Generation for Fault Diagnosis in R-Valued PLAs.
Proceedings of the 28th IEEE International Symposium on Multiple-Valued Logic, 1998

Implementing a Multiple-Valued Decision Diagram Package.
Proceedings of the 28th IEEE International Symposium on Multiple-Valued Logic, 1998

1996
Notes on "Complexity of the lookup-table minimization problem for FPGA technology mapping".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A Ternary Systolic Product-Sum Circuit for GF(3m) using Neuron MOSFETs.
Proceedings of the 26th IEEE International Symposium on Multiple-Valued Logic, 1996

Multiple-Valued Decision Diagrams with Symmetric Variable Nodes.
Proceedings of the 26th IEEE International Symposium on Multiple-Valued Logic, 1996

A spectral method for Boolean function matching.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Quantitative analysis for linear hybrid cellular automata and LFSR as built-in self-test generators for sequential faults.
J. Electron. Test., 1995

1994
Spectral Transformation of Multiple-Valued Decision Diagrams.
Proceedings of the 24th IEEE International Symposium on Multiple-Valued Logic, 1994

Why Cellular Automata are better than LFSRs as Built-in Self-test Generators for Sequential-type Faults.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
Decimal Addition and Subtraction Units Using the <i>p</i>-Valued Decimal Signed-Digit Number Representation.
Proceedings of the 23rd IEEE International Symposium on Multiple-Valued Logic, 1993

Multiple-Valued Logic Design Tools.
Proceedings of the 23rd IEEE International Symposium on Multiple-Valued Logic, 1993

1992
The OR-k method for on-line checking of programmable logic arrays.
J. Electron. Test., 1992

Autocorrelation Techniques for Multi-Bit Decoder PLAs.
Proceedings of the 22nd IEEE International Symposium on Multiple-Valued Logic, 1992

BIST Generators for Sequential Faults.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

1990
The analysis of one-dimensional linear cellular automata and their aliasing properties.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

RCM-MVL: A Recursive Consensus MVL Minimization Algorithm.
Proceedings of the 20th International Symposium on Multiple-Valued Logic, 1990

Estimating aliasing in CA and LFSR based signature registers.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

1989
Cellular automata-based pseudorandom number generators for built-in self-test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

1984
Spectral Fault Signatures for Single Stuck-At Faults in Combinational Networks.
IEEE Trans. Computers, 1984

1983
Spectral Fault Signatures for Internally Unate Combinational Networks.
IEEE Trans. Computers, 1983

1980
A class of two-place three-valued unary generators.
Notre Dame J. Formal Log., 1980

1976
A ternary universal decision element.
Notre Dame J. Formal Log., 1976


  Loading...