Hadi Mardani Kamali

Orcid: 0000-0003-3619-2465

According to our database1, Hadi Mardani Kamali authored at least 46 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SiPGuard: Run-Time System-in-Package Security Monitoring via Power Noise Variation.
IEEE Trans. Very Large Scale Integr. Syst., February, 2024

Improving Bounded Model Checkers Scalability for Circuit De-Obfuscation: An Exploration.
IEEE Trans. Inf. Forensics Secur., 2024

Advancing Trustworthiness in System-in-Package: A Novel Root-of-Trust Hardware Security Module for Heterogeneous Integration.
IEEE Access, 2024

2023
Enabling Security of Heterogeneous Integration: From Supply Chain to In-Field Operations.
IEEE Des. Test, October, 2023

HLock+: A Robust and Low-Overhead Logic Locking at the High-Level Language.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

ReTrustFSM: Toward RTL Hardware Obfuscation-A Hybrid FSM Approach.
IEEE Access, 2023

Security of Hardware Generators: Enabling Assurance in High-Level Synthesis.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Metrics-to-Methods: Decisive Reverse Engineering Metrics for Resilient Logic Locking.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Iterative Mitigation of Insecure Resource Sharing Produced by High-level Synthesis.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

HUnTer: Hardware Underneath Trigger for Exploiting SoC-level Vulnerabilities.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

RTLock: IP Protection using Scan-Aware Logic Locking at RTL.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

SheLL: Shrinking eFPGA Fabrics for Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

EvoLUTe: Evaluation of Look-Up-Table-based Fine-Grained IP Redaction.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

ActiWate: Adaptive and Design-agnostic Active Watermarking for IP Ownership in Modern SoCs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

SecHLS: Enabling Security Awareness in High-Level Synthesis.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Advances in Logic Locking: Past, Present, and Prospects.
IACR Cryptol. ePrint Arch., 2022

Secure and Robust Key-Trapped Design-for-Security Architecture for Protecting Obfuscated Logic.
IACR Cryptol. ePrint Arch., 2022

Warm Up before Circuit De-obfuscation? An Exploration through Bounded-Model-Checkers.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

O'clock: lock the clock via clock-gating for SoC IP protection.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Deep Graph Learning for Circuit Deobfuscation.
Frontiers Big Data, 2021

From Cryptography to Logic Locking: A Survey on the Architecture Evolution of Secure Scan Chains.
IEEE Access, 2021

ChaoLock: Yet Another SAT-hard Logic Locking using Chaos Computing.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

RANE: An Open-Source Formal De-obfuscation Attack for Reverse Engineering of Logic Encrypted Circuits.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

2020
SAT-Hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain.
IEEE Trans. Very Large Scale Integr. Syst., 2020

ExTru: A Lightweight, Fast, and Secure Expirable Trust for the Internet of Things.
CoRR, 2020

DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

SCRAMBLE: The State, Connectivity and Routing Augmentation Model for Building Logic Encryption.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

InterLock: An Intercorrelated Logic and Routing Locking.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

NNgSAT: Neural Network guided SAT Attack on Logic Locked Complex Structures.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

On Designing Secure and Robust Scan Chain for Protecting Obfuscated Logic.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

2019
SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond the SAT Attacks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2019

COMA: Communication and Obfuscation Management Architecture.
Proceedings of the 22nd International Symposium on Research in Attacks, 2019

Muffin: Minimally-Buffered Zero-Delay Power-Gating Technique in On-Chip Routers.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality.
Proceedings of the International Conference on Computer-Aided Design, 2019

Threats on Logic Locking: A Decade Later.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Full-Lock: Hard Distributions of SAT instances for Obfuscating Circuits using Fully Configurable Logic and Routing Blocks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
DuCNoC: A High-Throughput FPGA-Based NoC Simulator Using Dual-Clock Lightweight Router Micro-Architecture.
IEEE Trans. Computers, 2018

Using Multi-Core HW/SW Co-design Architecture for Accelerating K-means Clustering Algorithm.
CoRR, 2018

LUT-Lock: A Novel LUT-Based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

SPONGE: A Scalable Pivot-based On/Off Gating Engine for Reducing Static Power in NoC Routers.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

SRCLock: SAT-Resistant Cyclic Logic Locking for Protecting the Hardware.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

MUCH-SWIFT: A High-Throughput Multi-Core HW/SW Co-design K-means Clustering Architecture.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

2017
SMART: A Scalable Mapping And Routing Technique for Power-Gating in NoC Routers.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

2016
A Fault Tolerant Parallelism Approach for Implementing High-Throughput Pipelined Advanced Encryption Standard.
J. Circuits Syst. Comput., 2016

AdapNoC: A fast and flexible FPGA-based NoC simulator.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016


  Loading...