Naghmeh Karimi

Orcid: 0000-0002-5825-6637

According to our database1, Naghmeh Karimi authored at least 88 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
DELFINES: Detecting Laser Fault Injection Attacks via Digital Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

The 28th IEEE European Test Symposium.
IEEE Des. Test, February, 2024

Impact of Process Mismatch and Device Aging on SR-Latch Based True Random Number Generators.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2024

Digital Twin Integrity Protection in Distributed Control Systems.
Proceedings of the 21st IEEE Consumer Communications & Networking Conference, 2024

2023
The 41st IEEE VLSI Test Symposium.
IEEE Des. Test, October, 2023

A Networked System Dependability Validation Framework Using Physical and Virtual Nodes.
IEEE Access, 2023

Special Session: Security Verification & Testing for SR-Latch TRNGs.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

International Mutual Recognition: A Description of Trust Services in US, UK, EU and JP and the Testbed "Hakoniwa".
Proceedings of the 20th International Conference on Security and Cryptography, 2023

Challenges in Generating True Random Numbers Considering the Variety of Corners, Aging, and Intentional Attacks.
Proceedings of the International Conference on IC Design and Technology, 2023

Security Order of Gate-Level Masking Schemes.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Aging-Induced Failure Prognosis via Digital Sensors.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Welcome Message from the Chairs.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2023

2022
Assessment and Mitigation of Power Side-Channel-Based Cross-PUF Attacks on Arbiter-PUFs and Their Derivatives.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Aging Effects on Template Attacks Launched on Dual-Rail Protected Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Countering Modeling Attacks in PUF-based IoT Security Solutions.
ACM J. Emerg. Technol. Comput. Syst., 2022

A PUF-Based Modeling-Attack Resilient Authentication Protocol for IoT Devices.
IEEE Internet Things J., 2022

Cross-PUF Attacks: Targeting FPGA Implementation of Arbiter-PUFs.
J. Electron. Test., 2022

Special Session: On the Reliability of Conventional and Quantum Neural Network Hardware.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

An Attack Resilient PUF-based Authentication Mechanism for Distributed Systems.
Proceedings of the 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems, 2022

On the Practicality of Relying on Simulations in Different Abstraction Levels for Pre-silicon Side-Channel Analysis.
Proceedings of the 19th International Conference on Security and Cryptography, 2022

Does Aging Matter? The Curious Case of Fault Sensitivity Analysis.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Detecting Laser Fault Injection Attacks via Time-to-Digital Converter Sensors.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

SWeeT: Security Protocol for Wearables Embedded Devices' Data Transmission.
Proceedings of the IEEE International Conference on E-health Networking, 2022

Collusion-resistant PUF-based Distributed Device Authentication Protocol for Internet of Things.
Proceedings of the IEEE Global Communications Conference, 2022

Leakage Power Analysis in Different S-Box Masking Protection Schemes.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Robust and Efficient Data Security Solution for Pervasive Data Sharing in IoT.
Proceedings of the 19th IEEE Annual Consumer Communications & Networking Conference, 2022

2021
Detecting Failures and Attacks via Digital Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Reducing Aging Impacts in Digital Sensors via Run-Time Calibration.
J. Electron. Test., 2021

Two Sides of the Same Coin: Boons and Banes of Machine Learning in Hardware Security.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Hardware Security in Emerging Technologies: Vulnerabilities, Attacks, and Solutions.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

AVATAR: NN-Assisted Variation Aware Timing Analysis and Reporting for Hardware Trojan Detection.
IEEE Access, 2021

Masked SABL: A Long Lasting Side-Channel Protection Design Methodology.
IEEE Access, 2021

A Novel Modeling-Attack Resilient Arbiter-PUF Design.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

Real-Time IC Aging Prediction via On-Chip Sensors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Countering PUF Modeling Attacks through Adversarial Machine Learning.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Hardware Assisted Smart Grid Authentication.
Proceedings of the ICC 2021, 2021

Testing and Reliability Enhancement of Security Primitives.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

Making Obfuscated PUFs Secure Against Power Side-Channel Based Modeling Attacks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Enhancing the Resiliency of Multi-bit Parallel Arbiter-PUF and Its Derivatives Against Power Attacks.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2021

Learning Assisted Side Channel Delay Test for Detection of Recycled ICs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

On the Impact of Aging on Power Analysis Attacks Targeting Power-Equalized Cryptographic Circuits.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

On the Effect of Aging on Digital Sensors.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

Cross-PUF Attacks on Arbiter-PUFs through their Power Side-Channel.
Proceedings of the IEEE International Test Conference, 2020

LASCA: Learning Assisted Side Channel Delay Analysis for Hardware Trojan Detection.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

On-Chip Voltage and Temperature Digital Sensor for Security, Reliability, and Portability.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Failure and Attack Detection by Digital Sensors.
Proceedings of the IEEE European Test Symposium, 2020

PUF Enrollment and Life Cycle Management: Solutions and Perspectives for the Test Community.
Proceedings of the IEEE European Test Symposium, 2020

Effect of Aging on PUF Modeling Attacks based on Power Side-Channel Observations.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Real-Time Prediction for IC Aging Based on Machine Learning.
IEEE Trans. Instrum. Meas., 2019

Exploring the Effect of Device Aging on Static Power Analysis Attacks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2019

Implementation-Based Design Fingerprinting for Robust IC Fraud Detection.
J. Hardw. Syst. Secur., 2019

Special Session: Countering IP Security threats in Supply chain.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

2018
Impact of Aging on the Reliability of Delay PUFs.
J. Electron. Test., 2018

Special session: Recent developments in hardware security.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Design-Based Fingerprinting Using Side-Channel Power Analysis for Protection Against IC Piracy.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

On the Effect of Aging in Detecting Hardware Trojan Horses with Template Analysis.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Impact of Aging on Template Attacks.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Device aging: A reliability and security concern.
Proceedings of the 23rd IEEE European Test Symposium, 2018

2017
Predictive Aging of Reliability of two Delay PUFs.
IACR Cryptol. ePrint Arch., 2017

Impact of the switching activity on the aging of delay-PUFs.
Proceedings of the 22nd IEEE European Test Symposium, 2017

2016
Prognosis of NBTI aging using a machine learning scheme.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

2015
Security Vulnerabilities of Emerging Nonvolatile Main Memories and Countermeasures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Modeling, Detection, and Diagnosis of Faults in Multilevel Memristor Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

MAGIC: Malicious Aging in Circuits/Cores.
ACM Trans. Archit. Code Optim., 2015

Exploiting small leakages in masks to turn a second-order attack into a first-order attack.
Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy, 2015

Simulation and analysis of negative-bias temperature instability aging on power analysis attacks.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015

2014
Detection, diagnosis, and repair of faults in memristor-based memories.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Secure Memristor-based Main Memory.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Detection, Diagnosis, and Recovery From Clock-Domain Crossing Failures in Multiclock SoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

On the Impact of Performance Faults in Modern Microprocessors.
J. Electron. Test., 2013

Special session 4B: Elevator talks.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Reconciling the IC test and security dichotomy.
Proceedings of the 18th IEEE European Test Symposium, 2013

2012
Test generation for clock-domain crossing faults in integrated circuits.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller.
IEEE Trans. Computers, 2011

Workload-Cognizant Concurrent Error Detection in the Scheduler of a Modern Microprocessor.
IEEE Trans. Computers, 2011

Testing of Clock-Domain Crossing Faults in Multi-core System-on-Chip.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2009
Instruction-Level Impact Comparison of RT- vs. Gate-Level Faults in a Modern Microprocessor Controller.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Impact analysis of performance faults in modern microprocessors.
Proceedings of the 27th International Conference on Computer Design, 2009

2008
Online Network-on-Chip Switch Fault Detection and Diagnosis Using Functional Switch Faults.
J. Univers. Comput. Sci., 2008

On the Correlation between Controller Faults and Instruction-Level Errors in Modern Microprocessors.
Proceedings of the 2008 IEEE International Test Conference, 2008

NoC Reconfiguration for Utilizing the Largest Fault-free Connected Sub-structure.
Proceedings of the 2008 IEEE International Test Conference, 2008

A Novel GA-Based High-Level Synthesis Technique to Enhance RT-Level Concurrent Testing.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Reliable NoC architecture utilizing a robust rerouting algorithm.
Proceedings of the 2008 East-West Design & Test Symposium, 2008

Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

2007
RT level reliability enhancement by constructing dynamic TMRS.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
ESTA: An Efficient Method for Reliability Enhancement of RT-Level Designs.
Proceedings of the 15th Asian Test Symposium, 2006


  Loading...