Mark M. Tehranipoor

Orcid: 0000-0003-4699-3231

Affiliations:
  • University of Florida, Institute for Cybersecurity Research (FICS), Gainesville, FL, USA
  • University of Connecticut, Center for Hardware Assurance, Security, and Engineering (CHASE), Storrs, CT, USA


According to our database1, Mark M. Tehranipoor authored at least 398 papers between 2005 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2021, "For contributions to microelectronics security and trust".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
TrustGuard: Standalone FPGA-Based Security Monitoring Through Power Side-Channel.
IEEE Trans. Very Large Scale Integr. Syst., February, 2024

Heterogeneous Integration Supply Chain Integrity Through Blockchain and CHSM.
ACM Trans. Design Autom. Electr. Syst., January, 2024

AGILE: Automated Assertion Generation to Detect Information Leakage Vulnerabilities.
IEEE Trans. Inf. Forensics Secur., 2024

Improving Bounded Model Checkers Scalability for Circuit De-Obfuscation: An Exploration.
IEEE Trans. Inf. Forensics Secur., 2024

INSPECT: Investigating Supply Chain and Cyber-Physical Security of Battery Systems.
IACR Cryptol. ePrint Arch., 2024

Advancing Trustworthiness in System-in-Package: A Novel Root-of-Trust Hardware Security Module for Heterogeneous Integration.
IEEE Access, 2024

CAD Tools Pathway in Hardware Security.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
iPROBE: Internal Shielding Approach for Protecting Against Front-Side and Back-Side Probing Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

FSMx-Ultra: Finite State Machine Extraction From Gate-Level Netlist for Security Assessment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Enabling Security of Heterogeneous Integration: From Supply Chain to In-Field Operations.
IEEE Des. Test, October, 2023

HLock+: A Robust and Low-Overhead Logic Locking at the High-Level Language.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

FPIC: A Novel Semantic Dataset for Optical PCB Assurance.
ACM J. Emerg. Technol. Comput. Syst., April, 2023

FPGA-Chain: Enabling Holistic Protection of FPGA Supply Chain With Blockchain Technology.
IEEE Des. Test, April, 2023

Introduction to the Special Issue on CAD for Security: Pre-silicon Security Sign-off Solutions Through Design Cycle.
ACM J. Emerg. Technol. Comput. Syst., January, 2023

A Comprehensive Survey on Non-Invasive Fault Injection Attacks.
IACR Cryptol. ePrint Arch., 2023

LLM for SoC Security: A Paradigm Shift.
IACR Cryptol. ePrint Arch., 2023

ARC-FSM-G: Automatic Security Rule Checking for Finite State Machine at the Netlist Abstraction.
IACR Cryptol. ePrint Arch., 2023

ReTrustFSM: Toward RTL Hardware Obfuscation-A Hybrid FSM Approach.
IEEE Access, 2023

CAPEC: A Cellular Automata Guided FSM-based IP Authentication Scheme.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Special Session: CAD for Hardware Security - Promising Directions for Automation of Security Assurance.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

TaintFuzzer: SoC Security Verification using Taint Inference-enabled Fuzzing.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Towards Secure Classical-Quantum Systems.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Dual Channel EM/Power Attack Using Mutual Information and its Real-time Implementation.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Microelectronics Security in CHIPS Era.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

BitFREE: On Significant Speedup and Security Applications of FPGA Bitstream Format Reverse Engineering.
Proceedings of the IEEE European Test Symposium, 2023

PSC-Watermark: Power Side Channel Based IP Watermarking Using Clock Gates.
Proceedings of the IEEE European Test Symposium, 2023

QuardTropy: Detecting and Quantifying Unauthorized Information Leakage in Hardware Designs using g-entropy.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

HUnTer: Hardware Underneath Trigger for Exploiting SoC-level Vulnerabilities.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

RTLock: IP Protection using Scan-Aware Logic Locking at RTL.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

SheLL: Shrinking eFPGA Fabrics for Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

SoCFuzzer: SoC Vulnerability Detection using Cost Function enabled Fuzz Testing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

EvoLUTe: Evaluation of Look-Up-Table-based Fine-Grained IP Redaction.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

ActiWate: Adaptive and Design-agnostic Active Watermarking for IP Ownership in Modern SoCs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

SecHLS: Enabling Security Awareness in High-Level Synthesis.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

SHarPen: SoC Security Verification by Hardware Penetration Test.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Trust-Hub Trojan Benchmark for Hardware Trojan Detection Model Creation using Machine Learning.
Dataset, September, 2022

Power Side-Channel Leakage Assessment Framework at Register-Transfer Level.
IEEE Trans. Very Large Scale Integr. Syst., 2022

RASCv2: Enabling Remote Access to Side-Channels for Mission Critical and IoT Systems.
ACM Trans. Design Autom. Electr. Syst., 2022

eChain: A Blockchain-Enabled Ecosystem for Electronic Device Authenticity Verification.
IEEE Trans. Consumer Electron., 2022

SoFI: Security Property-Driven Vulnerability Assessments of ICs Against Fault-Injection Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Detecting Hardware Trojans Using Combined Self-Testing and Imaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

ACED-IT: Assuring Confidential Electronic Design Against Insider Threats in a Zero-Trust Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Analyzing Security Vulnerabilities Induced by High-level Synthesis.
ACM J. Emerg. Technol. Comput. Syst., 2022

ToSHI - Towards Secure Heterogeneous Integration: Security Risks, Threat Assessment, and Assurance.
IACR Cryptol. ePrint Arch., 2022

Digital Twin for Secure Semiconductor Lifecycle Management: Prospects and Applications.
IACR Cryptol. ePrint Arch., 2022

PQC-SEP: Power Side-channel Evaluation Platform for Post-Quantum Cryptography Algorithms.
IACR Cryptol. ePrint Arch., 2022

FICS PCB X-ray: A dataset for automated printed circuit board inter-layers inspection.
IACR Cryptol. ePrint Arch., 2022

Advances in Logic Locking: Past, Present, and Prospects.
IACR Cryptol. ePrint Arch., 2022

Secure Physical Design.
IACR Cryptol. ePrint Arch., 2022

Fuzz, Penetration, and AI Testing for SoC Security Verification: Challenges and Solutions.
IACR Cryptol. ePrint Arch., 2022

Rethinking Watermark: Providing Proof of IP Ownership in Modern SoCs.
IACR Cryptol. ePrint Arch., 2022

Secure Interposer-Based Heterogeneous Integration.
IEEE Des. Test, 2022

EM Side Channels in Hardware Security: Attacks and Defenses.
IEEE Des. Test, 2022

On Backside Probing Techniques and Their Emerging Security Threats.
IEEE Des. Test, 2022

Real-time instruction-level verification of remote IoT/CPS devices via side channels.
Discov. Internet Things, 2022

FSMx: Finite State Machine Extraction from Flattened Netlist With Application to Security.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

RTL-FSMx: Fast and Accurate Finite State Machine Extraction at the RTL for Security Applications.
Proceedings of the IEEE International Test Conference, 2022

ADWIL: A Zero-Overhead Analog Device Watermarking Using Inherent IP Features.
Proceedings of the IEEE International Test Conference, 2022

LDTFI: Layout-aware Timing Fault-Injection Attack Assessment Against Differential Fault Analysis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Security Properties Driven Pre-Silicon Laser Fault Injection Assessment.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

FTC: A Universal Sensor for Fault Injection Attack Detection.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

Warm Up before Circuit De-obfuscation? An Exploration through Bounded-Model-Checkers.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

AIME: Watermarking AI Models by Leveraging Errors.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

O'clock: lock the clock via clock-gating for SoC IP protection.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Security Assessment of Dynamically Obfuscated Scan Chain Against Oracle-guided Attacks.
ACM Trans. Design Autom. Electr. Syst., 2021

A Metal-Via Resistance Based Physically Unclonable Function With Backend Incremental ADC.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

CONCEALING-Gate: Optical Contactless Probing Resilient Design.
ACM J. Emerg. Technol. Comput. Syst., 2021

Hardware Trust and Assurance through Reverse Engineering: A Tutorial and Outlook from Image Analysis and Machine Learning Perspectives.
ACM J. Emerg. Technol. Comput. Syst., 2021

Rock'n'roll PUFs: crafting provably secure pufs from less secure ones (extended version).
J. Cryptogr. Eng., 2021

On malicious implants in PCBs throughout the supply chain.
Integr., 2021

FPGA-based Physical Unclonable Functions: A comprehensive overview of theory and architectures.
Integr., 2021

An End-to-End Bitstream Tamper Attack Against Flip-Chip FPGAs.
IACR Cryptol. ePrint Arch., 2021

What is All the FaaS About? - Remote Exploitation of FPGA-as-a-Service Platforms.
IACR Cryptol. ePrint Arch., 2021

SoC Security Properties and Rules.
IACR Cryptol. ePrint Arch., 2021

Quantifiable Assurance: From IPs to Platforms.
IACR Cryptol. ePrint Arch., 2021

SAIF: Automated Asset Identification for Security Verification at the Register Transfer Level.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Special Session: CAD for Hardware Security - Automation is Key to Adoption of Solutions.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

SymbA: Symbolic Execution at C-level for Hardware Trojan Activation.
Proceedings of the IEEE International Test Conference, 2021

LL-ATPG: Logic-Locking Aware Test Using Valet Keys in an Untrusted Environment.
Proceedings of the IEEE International Test Conference, 2021

HEXON: Protecting Firmware Using Hardware-Assisted Execution-Level Obfuscation.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Secure High-Level Synthesis: Challenges and Solutions.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

AutoMap: Automated Mapping of Security Properties Between Different Levels of Abstraction in Design Flow.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

POCA: First Power-on Chip Authentication in Untrusted Foundry and Assembly.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

RHAT: Efficient RowHammer-Aware Test for Modern DRAM Modules.
Proceedings of the 26th IEEE European Test Symposium, 2021

BOFT: Exploitable Buffer Overflow Detection by Information Flow Tracking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

PSC-TG: RTL Power Side-Channel Leakage Assessment with Test Pattern Generation.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Invited: End-to-End Secure SoC Lifecycle Management.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

HLock: Locking IPs at the High-Level Language.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Interconnect-Based PUF With Signature Uniqueness Enhancement.
IEEE Trans. Very Large Scale Integr. Syst., 2020

EMFORCED: EM-Based Fingerprinting Framework for Remarked and Cloned Counterfeit IC Detection Using Machine Learning Classification.
IEEE Trans. Very Large Scale Integr. Syst., 2020

QEC: A Quantum Entropy Chip and Its Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2020

SCRIPT: A CAD Framework for Power Side-channel Vulnerability Assessment Using Information Flow Tracking and Pattern Generation.
ACM Trans. Design Autom. Electr. Syst., 2020

Hidden in Plaintext: An Obfuscation-based Countermeasure against FPGA Bitstream Tampering Attacks.
ACM Trans. Design Autom. Electr. Syst., 2020

Soft-HaT: Software-Based Silicon Reprogramming for Hardware Trojan Implementation.
ACM Trans. Design Autom. Electr. Syst., 2020

CAS-Lock: A Security-Corruptibility Trade-off Resilient Logic Locking Scheme.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2020

A Physical Design Flow Against Front-Side Probing Attacks by Internal Shielding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Special Issue: 2019 PAINE Conference - Physical Assurance and Inspection of Electronics.
J. Hardw. Syst. Secur., 2020

Leveraging Side-Channel Information for Disassembly and Security.
ACM J. Emerg. Technol. Comput. Syst., 2020

The Big Hack Explained: Detection and Prevention of PCB Supply Chain Implants.
ACM J. Emerg. Technol. Comput. Syst., 2020

Permutation Network De-obfuscation: A Delay-based Attack and Countermeasure Investigation.
ACM J. Emerg. Technol. Comput. Syst., 2020

Defense-in-depth: A recipe for logic locking to prevail.
Integr., 2020

Defeating CAS-Unlock.
IACR Cryptol. ePrint Arch., 2020

FICS-PCB: A Multi-Modal Image Dataset for Automated Printed Circuit Board Visual Inspection.
IACR Cryptol. ePrint Arch., 2020

RanStop: A Hardware-assisted Runtime Crypto-Ransomware Detection Technique.
CoRR, 2020

Hardware Trust and Assurance through Reverse Engineering: A Survey and Outlook from Image Analysis and Machine Learning Perspectives.
CoRR, 2020

SeRFI: Secure Remote FPGA Initialization in an Untrusted Environment.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

SPARTA: A Laser Probing Approach for Trojan Detection.
Proceedings of the IEEE International Test Conference, 2020

On Optical Attacks Making Logic Obfuscation Fragile.
Proceedings of the IEEE International Test Conference in Asia, 2020

The Key is Left under the Mat: On the Inappropriate Security Assumption of Logic Locking Schemes.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

The Pursuit of Happiness: Establishing Hardware Root-of-Trust for Cyber Security.
Proceedings of the 4th ACM Workshop on Attacks and Solutions in Hardware Security Workshop, 2020

2019
Probing Assessment Framework and Evaluation of Antiprobing Solutions.
IEEE Trans. Very Large Scale Integr. Syst., 2019

System-Level Counterfeit Detection Using On-Chip Ring Oscillator Array.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Recycled FPGA Detection Using Exhaustive LUT Path Delay Characterization and Voltage Scaling.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Electronics Supply Chain Integrity Enabled by Blockchain.
ACM Trans. Design Autom. Electr. Syst., 2019

Emerging Attacks and Solutions for Secure Hardware in the Internet of Things.
IEEE Trans. Dependable Secur. Comput., 2019

Covert Gates: Protecting Integrated Circuits with Undetectable Camouflaging.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2019

Obfuscated Built-In Self-Authentication With Secure and Efficient Wire-Lifting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Security-Aware FSM Design Flow for Identifying and Mitigating Vulnerabilities to Fault Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Standards for Hardware Security.
GetMobile Mob. Comput. Commun., 2019

Dynamically Obfuscated Scan Chain To Resist Oracle-Guided Attacks On Logic Locked Design.
IACR Cryptol. ePrint Arch., 2019

Theoretical and Practical Approaches for Hardness Amplification of PUFs.
IACR Cryptol. ePrint Arch., 2019

Upgrade/Downgrade: Efficient and Secure Legacy Electronic System Replacement.
IEEE Des. Test, 2019

EOP: An Encryption-Obfuscation Solution for Protecting PCBs Against Tampering and Reverse Engineering.
CoRR, 2019

Unlock Your Heart: Next Generation Biometric in Resource-Constrained Healthcare Systems and IoT.
IEEE Access, 2019

RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Rock'n'roll PUFs: Crafting Provably Secure PUFs from Less Secure Ones.
Proceedings of 8th International Workshop on Security Proofs for Embedded Systems, 2019

IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future.
Proceedings of the IEEE International Test Conference, 2019

SoC Security Verification using Property Checking.
Proceedings of the IEEE International Test Conference, 2019

FPGA Bitstream Security: A Day in the Life.
Proceedings of the IEEE International Test Conference, 2019

SCR-QRNG: Side-Channel Resistant Design using Quantum Random Number Generator.
Proceedings of the International Conference on Computer-Aided Design, 2019

QIF-Verilog: Quantitative Information-Flow based Hardware Description Languages for Pre-Silicon Security Assessment.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

FLATS: Filling Logic and Testing Spatially for FPGA Authentication and Tamper Detection.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

LPN-based Device Authentication Using Resistive Memory.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

RAM-Jam: Remote Temperature and Voltage Fault Attack on FPGAs using Memory Collisions.
Proceedings of the 2019 Workshop on Fault Diagnosis and Tolerance in Cryptography, 2019

A Metal-Via Resistance Based Physically Unclonable Function with 1.18% Native Instability.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
An On-Chip Dynamically Obfuscated Wrapper for Protecting Supply Chain Against IP and IC Piracies.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Bimodal Oscillation as a Mechanism for Autonomous Majority Voting in PUFs.
IEEE Trans. Very Large Scale Integr. Syst., 2018

SCARe: An SRAM-Based Countermeasure Against IC Recycling.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Hardware-Enabled Pharmaceutical Supply Chain Security.
ACM Trans. Design Autom. Electr. Syst., 2018

ReSC: An RFID-Enabled Solution for Defending IoT Supply Chain.
ACM Trans. Design Autom. Electr. Syst., 2018

UCR: An Unclonable Environmentally Sensitive Chipless RFID Tag For Protecting Supply Chain.
ACM Trans. Design Autom. Electr. Syst., 2018

Secure Scan and Test Using Obfuscation Throughout Supply Chain.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

System-on-Chip Platform Security Assurance: Architecture and Validation.
Proc. IEEE, 2018

Detecting Hardware Trojans Inserted by Untrusted Foundry Using Physical Inspection and Advanced Image Processing.
J. Hardw. Syst. Secur., 2018

Development and Evaluation of Hardware Obfuscation Benchmarks.
J. Hardw. Syst. Secur., 2018

Challenges and Opportunities in Analog and Mixed Signal (AMS) Integrated Circuit (IC) Security.
J. Hardw. Syst. Secur., 2018

LDPUF: Exploiting DRAM Latency Variations to Generate Robust Device Signatures.
CoRR, 2018

Secure and Reliable Biometric Access Control for Resource-Constrained Systems and IoT.
CoRR, 2018

Physical Inspection & Attacks: New Frontier in Hardware Security.
Proceedings of the 3rd IEEE International Verification and Security Workshop, 2018

EMFORCED: EM-based Fingerprinting Framework for Counterfeit Detection with Demonstration on Remarked and Cloned ICs.
Proceedings of the IEEE International Test Conference, 2018

Robust Timing Attack Countermeasure on Virtual Hardware.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Hardware virtualization for protection against power analysis attack.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Robust, low-cost, and accurate detection of recycled ICs using digital signatures.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Device attestation: Past, present, and future.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Power-based side-channel instruction-level disassembler.
Proceedings of the 55th Annual Design Automation Conference, 2018

CIPA: Concurrent IC and PCB Authentication Using On-chip Ring Oscillator Array.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

A Comprehensive Analysis on Vulnerability of Active Shields to Tilted Microprobing Attacks.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

2017
Poly-Si-Based Physical Unclonable Functions.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Design of Reliable SoCs With BIST Hardware and Machine Learning.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Security Beyond CMOS: Fundamentals, Applications, and Roadmap.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Test-Point Insertion Efficiency Analysis for LBIST in High-Assurance Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Editorial.
IEEE Trans. Very Large Scale Integr. Syst., 2017

CDTA: A Comprehensive Solution for Counterfeit Detection, Traceability, and Authentication in the IoT Supply Chain.
ACM Trans. Design Autom. Electr. Syst., 2017

Obfuscation-Based Protection Framework against Printed Circuit Boards Unauthorized Operation and Reverse Engineering.
ACM Trans. Design Autom. Electr. Syst., 2017

Introduction to Cyber-Physical System Security: A Cross-Layer Perspective.
IEEE Trans. Multi Scale Comput. Syst., 2017

SMA: A System-Level Mutual Authentication for Protecting Electronic Hardware and Firmware.
IEEE Trans. Dependable Secur. Comput., 2017

TRO: An On-Chip Ring Oscillator-Based GHz Transient IR-Drop Monitor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

SoC Speed Binning Using Machine Learning and On-Chip Slack Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Highly Reliable Key Generation From Electrocardiogram (ECG).
IEEE Trans. Biomed. Eng., 2017

Benchmarking of Hardware Trojans and Maliciously Affected Circuits.
J. Hardw. Syst. Secur., 2017

Systematic Correlation and Cell Neighborhood Analysis of SRAM PUF for Robust and Unique Key Generation.
J. Hardw. Syst. Secur., 2017

Editorial for the Introductory Issue of the <i>Journal of Hardware and Systems Security</i> (HaSS).
J. Hardw. Syst. Secur., 2017

TSensors Vision, Infrastructure and Security Challenges in Trillion Sensor Era.
J. Hardw. Syst. Secur., 2017

Novel Bypass Attack and BDD-based Tradeoff Analysis Against all Known Logic Locking Attacks.
IACR Cryptol. ePrint Arch., 2017

An Access Mechanism for Embedded Sensors in Modern SoCs.
J. Electron. Test., 2017

Probing Attacks on Integrated Circuits: Challenges and Research Opportunities.
IEEE Des. Test, 2017

Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Aging resilient RO PUF with increased reliability in FPGA.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2017

ATAVE: A framework for automatic timing attack vulnerability evaluation.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

iPUF: Interconnect PUF with Self-Masking Circuit for Performance Enhancement.
Proceedings of the 18th International Workshop on Microprocessor and SOC Test and Verification, 2017

Hardware-Assisted Cybersecurity for IoT Devices.
Proceedings of the 18th International Workshop on Microprocessor and SOC Test and Verification, 2017

Hardware trojan detection through information flow security verification.
Proceedings of the IEEE International Test Conference, 2017

Design of a digital IP for 3D-IC die-to-die clock synchronization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Human recognition from photoplethysmography (PPG) based on non-fiducial features.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

A stochastic all-digital weak physically unclonable function for analog/mixed-signal applications.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

Securing Split Manufactured ICs with Wire Lifting Obfuscated Built-In Self-Authentication.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Comparative Analysis of Hardware Obfuscation for IP Protection.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

FFD: A Framework for Fake Flash Detection.
Proceedings of the 54th Annual Design Automation Conference, 2017

Standardizing Bad Cryptographic Practice: A Teardown of the IEEE Standard for Protecting Electronic-design Intellectual Property.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

ASHES 2017: Workshop on Attacks and Solutions in Hardware Security.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

Non-fiducial PPG-based authentication for healthcare application.
Proceedings of the 2017 IEEE EMBS International Conference on Biomedical & Health Informatics, 2017

MUTARCH: Architectural diversity for FPGA device and IP security.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Security vulnerability analysis of design-for-test exploits for asset protection in SoCs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

A split manufacturing approach for unclonable chipless RFIDs for pharmaceutical supply chain security.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

DOST: Dynamically obfuscated wrapper for split test against IC piracy.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

MPA: Model-assisted PCB attestation via board-level RO and temperature compensation.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
A Novel Peak Power Supply Noise Measurement and Adaptation System for Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Design of a Network of Digital Sensor Macros for Extracting Power Supply Noise Profile in SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Design of Accurate Low-Cost On-Chip Structures for Protecting Integrated Circuits Against Recycling.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Hardware Trojans: Lessons Learned after One Decade of Research.
ACM Trans. Design Autom. Electr. Syst., 2016

FORTIS: A Comprehensive Solution for Establishing Forward Trust for Protecting IPs and ICs.
ACM Trans. Design Autom. Electr. Syst., 2016

Security and Vulnerability Implications of 3D ICs.
IEEE Trans. Multi Scale Comput. Syst., 2016

Guest Editorial: Hardware/Software Cross-Layer Technologies for Trustworthy and Secure Computing.
IEEE Trans. Multi Scale Comput. Syst., 2016

Vulnerability Analysis of a Circuit Layout to Hardware Trojan Insertion.
IEEE Trans. Inf. Forensics Secur., 2016

An Aging-Resistant RO-PUF for Reliable Key Generation.
IEEE Trans. Emerg. Top. Comput., 2016

A Survey on Chip to System Reverse Engineering.
ACM J. Emerg. Technol. Comput. Syst., 2016

Hardware Security (Dagstuhl Seminar 16202).
Dagstuhl Reports, 2016

Security Rule Checking in IC Design.
Computer, 2016

Security validation in IoT space.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Test-point insertion efficiency analysis for LBIST applications.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

New Directions in Hardware Security.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Robust bitstream protection in FPGA-based systems through low-overhead obfuscation.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Technical demonstration session: Software toolflow for FPGA bitstream obfuscation.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

A stochastic approach to analog physical unclonable function.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

BIST-RM: BIST-assisted reliability management of SoCs using on-chip clock sweeping and machine learning.
Proceedings of the 2016 IEEE International Test Conference, 2016

Recycled FPGA detection using exhaustive LUT path delay characterization.
Proceedings of the 2016 IEEE International Test Conference, 2016

Selective Enhancement of Randomness at the Materials Level: Poly-Si Based Physical Unclonable Functions (PUFs).
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

An efficient all-digital IR-Drop Alarmer for DVFS-based SoC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

AES design improvement towards information safety.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Gate-level netlist reverse engineering for hardware security: Control logic register identification.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Hardware security meets biometrics for the age of IoT.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

UCR: An unclonable chipless RFID tag.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

A layout-driven framework to assess vulnerability of ICs to microprobing attacks.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Enhancing noise sensitivity of embedded SRAMs for robust true random number generation in SoCs.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

Aging attacks for key extraction on permutation-based obfuscation.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

A zero-cost approach to detect recycled SoC chips using embedded SRAM.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Tracking Data Flow at Gate-Level through Structural Checking.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Aging Adaption in Integrated Circuits Using a Novel Built-In Sensor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Detecting Hardware Trojans using On-chip Sensors in an ASIC Design.
J. Electron. Test., 2015

A robust digital sensor IP and sensor insertion flow for in-situ path timing slack monitoring in SoCs.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

ReSC: RFID-Enabled Supply Chain Management and Traceability for Network Devices.
Proceedings of the Radio Frequency Identification. Security and Privacy Issues, 2015

Harnessing Nanoscale Device Properties for Hardware Security.
Proceedings of the 16th International Workshop on Microprocessor and SOC Test and Verification, 2015

LBIST pattern reduction by learning ATPG test cube properties.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Performance optimization for on-chip sensors to detect recycled ICs.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

A pair selection algorithm for robust RO-PUF against environmental variations and aging.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Protecting Endpoint Devices in IoT Supply Chain.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Efficient and secure split manufacturing via obfuscated built-in self-authentication.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015

Speed Binning Using Machine Learning And On-chip Slack Sensors.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Predictive LBIST model and partial ATPG for seed extraction.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Chip-level anti-reverse engineering using transformable interconnects.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Investigation of obfuscation-based anti-reverse engineering for printed circuit boards.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Design of On-Chip Lightweight Sensors for Effective Detection of Recycled ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise in Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2014

A Novel Built-In Self-Authentication Technique to Prevent Inserting Hardware Trojans.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain.
Proc. IEEE, 2014

Critical-reliability path identification and delay analysis.
ACM J. Emerg. Technol. Comput. Syst., 2014

Guest Editorial.
IET Comput. Digit. Tech., 2014

On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST.
IEICE Trans. Inf. Syst., 2014

A Comprehensive Framework for Counterfeit Defect Coverage Analysis and Detection Assessment.
J. Electron. Test., 2014

Counterfeit Integrated Circuits: Detection, Avoidance, and the Challenges Ahead.
J. Electron. Test., 2014

Cybersecurity Standards: Managing Risk and Creating Resilience.
Computer, 2014

Identification of testable representative paths for low-cost verification of circuit performance during manufacturing and in-field tests.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Tutorial T4: All You Need to Know about Hardware Trojans and Counterfeit ICs.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

T1A: Opportunities and challenges for secure hardware and verifying trust in integrated circuits.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

CSST: An Efficient Secure Split-Test for Preventing IC Piracy.
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014

On-chip sensor selection for effective speed-binning.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Bit selection algorithm suitable for high-volume production of SRAM-PUF.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

SAM: A comprehensive mechanism for accessing embedded sensors in modern SoCs.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Aging analysis for recycled FPGA detection.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

ARO-PUF: An aging-resistant ring oscillator PUF design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Hacking and protecting IC hardware.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

TI-TRNG: Technology Independent True Random Number Generator.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Low-cost On-Chip Structures for Combating Die and IC Recycling.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

An All Digital Distributed Sensor Network Based Framework for Continuous Noise Monitoring and Timing Failure Analysis in SoCs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Advanced Analysis of Cell Stability for Reliable SRAM PUFs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Circuit Path Grading Considering Layout, Process Variations, and Cross Talk.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

Faster-than-at-Speed Test for Screening Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Power-safe application of tdf patterns to flip-chip designs during wafer test.
ACM Trans. Design Autom. Electr. Syst., 2013

Generation of Effective 1-Detect TDF Patterns for Detecting Small-Delay Defects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Detection of trojans using a combined ring oscillator network and off-chip transient power analysis.
ACM J. Emerg. Technol. Comput. Syst., 2013

Efficient Pattern Generation for Small-Delay Defects Using Selection of Critical Faults.
J. Electron. Test., 2013

A Clock Sweeping Technique for Detecting Hardware Trojans Impacting Circuits Delay.
IEEE Des. Test, 2013

A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection.
IEEE Des. Test, 2013

Protection Against Hardware Trojan Attacks: Towards a Comprehensive Solution.
IEEE Des. Test, 2013

A study on the effectiveness of Trojan detection techniques using a red team blue team approach.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Special session 12A: Hot topic counterfeit IC identification: How can test help?
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Anti-counterfeit Techniques: From Design to Resign.
Proceedings of the 14th International Workshop on Microprocessor Test and Verification, 2013

A novel flow for reducing clock skew considering NBTI effect and process variations.
Proceedings of the International Symposium on Quality Electronic Design, 2013

On design vulnerability analysis and trust benchmarks development.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Functional Fmax test-time reduction using novel DFTs for circuit initialization.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

BISA: Built-in self-authentication for preventing hardware Trojan insertion.
Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, 2013

Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Secure Split-Test for preventing IC piracy by untrusted foundry and assembly.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST.
Proceedings of the 22nd Asian Test Symposium, 2013

Critical Paths Selection and Test Cost Reduction Considering Process Variations.
Proceedings of the 22nd Asian Test Symposium, 2013

Worst-Case Critical-Path Delay Analysis Considering Power-Supply Noise.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Design and Analysis of a Delay Sensor Applicable to Process/Environmental Variations and Aging Measurements.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Novel Technique for Improving Hardware Trojan Detection and Reducing Trojan Activation Time.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Layout-Aware Switching Activity Localization to Enhance Hardware Trojan Detection.
IEEE Trans. Inf. Forensics Secur., 2012

Ensuring Power-Safe Application of Test Patterns Using an Effective Gating Approach Considering Current Limits.
J. Low Power Electron., 2012

Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application of Transition Delay Fault Patterns.
J. Low Power Electron., 2012

A Layout-Aware Pattern Grading Procedure for Critical Paths Considering Power Supply Noise and Crosstalk.
J. Electron. Test., 2012

A novel method for fast identification of peak current during test.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

On pinpoint capture power management in at-speed scan test generation.
Proceedings of the 2012 IEEE International Test Conference, 2012

Radic: A standard-cell-based sensor for on-chip aging and flip-flop metastability measurements.
Proceedings of the 2012 IEEE International Test Conference, 2012

Representative Critical Reliability Paths for low-cost and accurate on-chip aging evaluation.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Experimental analysis of a ring oscillator network for hardware Trojan detection in a 90nm ASIC.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

TSUNAMI: a light-weight on-chip structure for measuring timing uncertainty induced by noise during functional and test operations.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

A zero-overhead IC identification technique using clock sweeping and path delay analysis.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Efficient selection and analysis of critical-reliability paths and gates.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Path-delay fingerprinting for identification of recovered ICs.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

Identification of recovered ICs using fingerprints from a light-weight on-chip sensor.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

PowerMAX: Fast Power Analysis during Test.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
An Experimental Analysis of Power and Delay Signal-to-Noise Requirements for Detecting Trojans and Methods for Achieving the Required Detection Sensitivities.
IEEE Trans. Inf. Forensics Secur., 2011

Layout-Aware Critical Path Delay Test Under Maximum Power Supply Noise Effects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A Metric to Target Small-Delay Defects in Industrial Circuits.
IEEE Des. Test Comput., 2011

Trustworthy Hardware: Trojan Detection and Design-for-Trust Challenges.
Computer, 2011

Power-safe test application using an effective gating approach considering current limits.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Special session: Hot topic: Smart silicon.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Special session 5B: Panel How much toggle activity should we be testing with?
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Power-aware test generation with guaranteed launch safety for at-speed scan testing.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Case Study: Efficient SDD test generation for very large integrated circuits.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Low-cost diagnostic pattern generation and evaluation procedures for noise-related failures.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Red team: Design of intelligent hardware trojans with known defense schemes.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Case study: Detecting hardware Trojans in third-party digital IP cores.
Proceedings of the HOST 2011, 2011

Peak power identification on power bumps during test application.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Critical Fault-Based Pattern Generation for Screening SDDs.
Proceedings of the 16th European Test Symposium, 2011

RON: An on-chip ring oscillator network for hardware Trojan detection.
Proceedings of the Design, Automation and Test in Europe, 2011

In-field aging measurement and calibration for power-performance optimization.
Proceedings of the 48th Design Automation Conference, 2011

On Generation of 1-Detect TDF Pattern Set with Significantly Increased SDD Coverage.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Test and Diagnosis for Small-Delay Defects.
Springer, ISBN: 978-1-4419-8296-4, 2011

2010
Hardware Trojan Horses.
Proceedings of the Towards Hardware-Intrinsic Security - Foundations and Practice, 2010

A Sensitivity Analysis of Power Signal Methods for Detecting Hardware Trojans Under Real Process and Environmental Conditions.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Test-Pattern Selection for Screening Small-Delay Defects in Very-Deep Submicrometer Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

A Comprehensive Analysis of Transition Fault Coverage and Test Power Dissipation for Launch-Off-Shift and Launch-Off-Capture Schemes.
J. Low Power Electron., 2010

A Novel IR-Drop Tolerant Transition Delay Fault Test Pattern Generation Procedure.
J. Low Power Electron., 2010

High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme.
IEICE Trans. Inf. Syst., 2010

A Survey of Hardware Trojan Taxonomy and Detection.
IEEE Des. Test Comput., 2010

Guest Editors' Introduction: Confronting the Hardware Trustworthiness Problem.
IEEE Des. Test Comput., 2010

Power Supply Noise: A Survey on Effects and Research.
IEEE Des. Test Comput., 2010

Trustworthy Hardware: Identifying and Classifying Hardware Trojans.
Computer, 2010

A layout-aware approach for improving localized switching to detect hardware Trojans in integrated circuits.
Proceedings of the 2010 IEEE International Workshop on Information Forensics and Security, 2010

A novel hybrid method for SDD pattern grading and selection.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Is test power reduction through X-filling good enough?
Proceedings of the 2011 IEEE International Test Conference, 2010

Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG.
Proceedings of the 5th International Design and Test Workshop, 2010

Pattern grading for testing critical paths considering power supply noise and crosstalk using a layout-aware quality metric.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Full-circuit SPICE simulation based validation of dynamic delay estimation.
Proceedings of the 15th European Test Symposium, 2010

Analysis of power consumption and transition fault coverage for LOS and LOC testing schemes.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Novel Physical Unclonable Function with process and environmental variations.
Proceedings of the Design, Automation and Test in Europe, 2010

High-quality pattern selection for screening small-delay defects considering process variations and crosstalk.
Proceedings of the Design, Automation and Test in Europe, 2010

Power-Safe Application of Transition Delay Fault Patterns Considering Current Limit during Wafer Test.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

A Noise-Aware Hybrid Method for SDD Pattern Grading and Selection.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Circuit Topology-Based Test Pattern Generation for Small-Delay Defects.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Emulating and diagnosing IR-drop by using dynamic SDF.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
A Novel Faster-Than-at-Speed Transition-Delay Test Method Considering IR-Drop Effects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

A novel architecture for on-chip path delay measurement.
Proceedings of the 2009 IEEE International Test Conference, 2009

New Design Strategy for Improving Hardware Trojan Detection and Reducing Trojan Activation Time.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009

2008
Low-Transition Test Pattern Generation for BIST-Based Applications.
IEEE Trans. Computers, 2008

Layout-Aware Transition-Delay Fault Pattern Generation with Evenly Distributed Switching Activity.
J. Low Power Electron., 2008

SCT: A novel approach for testing and configuring nanoscale devices.
ACM J. Emerg. Technol. Comput. Syst., 2008

Defect Tolerance for Nanoscale Crossbar-Based Devices.
IEEE Des. Test Comput., 2008

Test-Pattern Grading and Pattern Selection for Small-Delay Defects.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

LS-TDF: Low-Switching Transition Delay Fault Pattern Generation.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Interconnect-Aware and Layout-Oriented Test-Pattern Selection for Small-Delay Defects.
Proceedings of the 2008 IEEE International Test Conference, 2008

Power Distribution Failure Analysis Using Transition-Delay Fault Patterns.
Proceedings of the 2008 IEEE International Test Conference, 2008

A Novel Pattern Generation Framework for Inducing Maximum Crosstalk Effects on Delay-Sensitive Paths.
Proceedings of the 2008 IEEE International Test Conference, 2008

Path-RO: a novel on-chip critical path delay measurement under process variations.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Power supply signal calibration techniques for improving detection resolution to hardware Trojans.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Detecting Malicious Inclusions in Secure Hardware: Challenges and Solutions.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

Sensitivity Analysis to Hardware Trojans using Power Supply Transient Signals.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation.
Proceedings of the Design, Automation and Test in Europe, 2008

CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
A critical-path-aware partial gating approach for test power reduction.
ACM Trans. Design Autom. Electr. Syst., 2007

Securing Designs against Scan-Based Side-Channel Attacks.
IEEE Trans. Dependable Secur. Comput., 2007

Built-In Self-Test and Recovery Procedures for Molecular Electronics-Based Nanofabrics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Local At-Speed Scan Enable Generation for Transition Fault Testing Using Low-Cost Testers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Evaluating area and performance of hybrid FPGAs with nanoscale clusters and CMOS routing.
ACM J. Emerg. Technol. Comput. Syst., 2007

Guest Editorial.
J. Electron. Test., 2007

Guest Editors' Introduction: IR Drop in Very Deep-Submicron Designs.
IEEE Des. Test Comput., 2007

Supply Voltage Noise Aware ATPG for Transition Delay Faults.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design.
Proceedings of the 44th Design Automation Conference, 2007

2006
Quiescent-Signal Analysis: A Multiple Supply Pad IDDQ Method.
IEEE Des. Test Comput., 2006

Improving Transition Delay Test Using a Hybrid Method.
IEEE Des. Test Comput., 2006

SCT: An Approach For Testing and Configuring Nanoscale Devices.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

A Low-Cost Solution for Protecting IPs Against Scan-Based Side-Channel Attacks.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Session Abstract.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

A novel framework for faster-than-at-speed delay test considering IR-drop effects.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Test and recovery for fine-grained nanoscale architectures.
Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, 2006

Fine-grained island style architecture for molecular electronic devices.
Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, 2006

A Reconfiguration-based Defect Tolerance Method for Nanoscale Devices.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

A new hybrid FPGA with nanoscale clusters and CMOS routing.
Proceedings of the 43rd Design Automation Conference, 2006

Timing-based delay test for screening small delay defects.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Nine-coded compression technique for testing embedded cores in SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Pattern Generation and Estimation for Power Supply Noise Analysis.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

At-Speed Transition Fault Testing With Low Speed Scan Enable.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Enhanced launch-off-capture transition fault testing.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Defect Tolerance for Molecular Electronics-Based NanoFabrics Using Built-In Self-Test Procedure.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

Securing Scan Design Using Lock and Key Technique.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

Low Transition LFSR for BIST-Based Applications.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Partial Gating Optimization for Power Reduction During Test Application.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005


  Loading...