Jacob K. White

Affiliations:
  • MIT, Cambridge, USA


According to our database1, Jacob K. White authored at least 127 papers between 1988 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
MARIE 2.0: A Perturbation Matrix Based Patient-Specific MRI Field Simulator.
IEEE Trans. Biomed. Eng., May, 2023

A Hybrid Volume-Surface Integral Equation Method for Rapid Electromagnetic Simulations in MRI.
IEEE Trans. Biomed. Eng., 2023

2022
Autofocusing+: Noise-Resilient Motion Correction in Magnetic Resonance Imaging.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022

2021
Magnetic-Resonance-Based Electrical Property Mapping Using Global Maxwell Tomography With an 8-Channel Head Coil at 7 Tesla: A Simulation Study.
IEEE Trans. Biomed. Eng., 2021

Compression of volume-surface integral equation matrices via Tucker decomposition for magnetic resonance applications.
CoRR, 2021

2020
Noninvasive Estimation of Electrical Properties From Magnetic Resonance Measurements via Global Maxwell Tomography and Match Regularization.
IEEE Trans. Biomed. Eng., 2020

A 16-channel AC/DC array coil for anesthetized monkey whole-brain imaging at 7T.
NeuroImage, 2020

VoxCap: FFT-Accelerated and Tucker-Enhanced Capacitance Extraction Simulator for Voxelized Structures.
CoRR, 2020

2019
The Slow Start of Fast Spice: A Brief History of Timing.
Proceedings of the 2019 International Symposium on Physical Design, 2019

2018
GMRES-Accelerated ADMM for Quadratic Objectives.
SIAM J. Optim., 2018

2017
Computational analysis reveals the coupling between bistability and the sign of a feedback loop in a TGF-β1 activation model.
BMC Syst. Biol., 2017

2016
Fast Electromagnetic Analysis of MRI Transmit RF Coils Based on Accelerated Integral Equation Methods.
IEEE Trans. Biomed. Eng., 2016

2015
Non-canonical Activation of Akt in Serum-Stimulated Fibroblasts, Revealed by Comparative Modeling of Pathway Dynamics.
PLoS Comput. Biol., 2015

Toeplitz-Plus-Hankel Matrix Recovery for Green's Function Computations on General Substrates.
Proc. IEEE, 2015

2014
The Self-Limiting Dynamics of TGF-β Signaling <i>In Silico</i> and <i>In Vitro</i>, with Negative Feedback through PPM1A Upregulation.
PLoS Comput. Biol., 2014

Stable FFT-JVIE solvers for fast analysis of highly inhomogeneous dielectric objects.
J. Comput. Phys., 2014

2013
Optimizing Electrode Configuration for Electrical Impedance Measurements of Muscle via the Finite Element Method.
IEEE Trans. Biomed. Eng., 2013

SPEDRE: a web server for estimating rate parameters for cell signaling dynamics in data-rich environments.
Nucleic Acids Res., 2013

On the use of rational-function fitting methods for the solution of 2D Laplace boundary-value problems.
J. Comput. Phys., 2013

Computational modelling of LY303511 and TRAIL-induced apoptosis suggests dynamic regulation of cFLIP.
Bioinform., 2013

Systematic parameter estimation in data-rich environments for cell signalling dynamics.
Bioinform., 2013

Teaching electronic circuits online: Lessons from MITx's 6.002x on edX.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Consensus control of multi-agent system with constraint - the scalar case.
Proceedings of the 52nd IEEE Conference on Decision and Control, 2013

2011
Biochemical oscillator sensitivity analysis in the presence of conservation constraints.
Proceedings of the 48th Design Automation Conference, 2011

A stabilized discrete empirical interpolation method for model reduction of electrical, thermal, and microelectromechanical systems.
Proceedings of the 48th Design Automation Conference, 2011

2009
Sensitivity Analysis for Oscillating Dynamical Systems.
SIAM J. Sci. Comput., 2009

An implicit immersed boundary method for three-dimensional fluid-membrane interactions.
J. Comput. Phys., 2009

Accurate solution of multi-region continuum biomolecule electrostatic problems using the linearized Poisson-Boltzmann equation with curved boundary elements.
J. Comput. Chem., 2009

An electrical-level superposed-edge approach to statistical serial link simulation.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Design tools for emerging technologies.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

2008
A Level Set-Boundary Element Method for the Simulation of Underwater Bubble Dynamics.
SIAM J. Sci. Comput., 2008

A meshless, spectrally accurate, integral equation solver for molecular surface electrostatics.
ACM J. Emerg. Technol. Comput. Syst., 2008

2007
Fundamentals of Fast Simulation Algorithms for RF Circuits.
Proc. IEEE, 2007

Optimization-based wideband basis functions for efficient interconnect extraction.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Efficient optimization of electrostatic interactions between biomolecules.
Proceedings of the 46th IEEE Conference on Decision and Control, 2007

2006
Algorithms in FastStokes and Its Application to Micromachined Device Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Macromodel Generation for BioMEMS Components Using a Stabilized Balanced Truncation Plus Trajectory Piecewise-Linear Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

FFTSVD: A Fast Multiscale Boundary-Element Method Solver Suitable for Bio-MEMS and Biomolecule Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

A spectrally accurate integral equation solver for molecular surface electrostatics.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2005
Algorithms in FastImp: a fast and wide-band impedance extraction program for complicated 3-D geometries.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

FastSies: a fast stochastic integral equation solver for modeling the rough surface effect.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

A more reliable reduction algorithm for behavioral model extraction.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Segregation by primary phase factors: a full-wave algorithm for model order reduction.
Proceedings of the 42nd Design Automation Conference, 2005

Analysis of full-wave conductor system impedance over substrate using novel integration techniques.
Proceedings of the 42nd Design Automation Conference, 2005

Developing design tools for biological and biomedical applications of micro- and nano-technology.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

2004
A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Low-Rank Solution of Lyapunov Equations.
SIAM Rev., 2004

A stochastic integral equation method for modeling the rough surface effect on interconnect capacitance.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

CAD challenges in BioMEMS design.
Proceedings of the 41th Design Automation Conference, 2004

2003
A trajectory piecewise-linear approach to model order reduction and fast simulation of nonlinear circuits and micromachined devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Multiscale Bases for the Sparse Representation of Boundary Integral Operators on Complex Geometry.
SIAM J. Sci. Comput., 2003

A New Surface Integral Formulation For Wideband Impedance Extraction of 3-D Structures.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Algorithms in FastImp: a fast and wideband impedance extraction program for complicated 3-D geometries.
Proceedings of the 40th Design Automation Conference, 2003

A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and MEMS.
Proceedings of the 40th Design Automation Conference, 2003

2002
Simulation and modeling of the effect of substrate conductivity on coupling inductance and circuit crosstalk.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Managing on-chip inductive effects.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Geometrically parameterized interconnect performance models for interconnect synthesis.
Proceedings of 2002 International Symposium on Physical Design, 2002

FastMag: a 3-D magnetostatic inductance extraction program for structures with permeable materials.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Fast methods for simulation of biomolecule electrostatics.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Proximity templates for modeling of skin and proximity effects on packages and high frequency interconnect.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Improving the generality of the fictitious magnetic charge approach to computing inductances in the presence of permeable materials.
Proceedings of the 39th Design Automation Conference, 2002

2001
Improved integral formulations for fast 3-D method-of-momentssolvers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Highly Accurate Fast Methods for Extraction and Sparsification of Substrate Coupling Based on Low-Rank Approximation.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Techniques for Including Dielectrics when Extracting Passive Low-Order Models of High Speed Interconnect.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Modeling and Analysis of Differential Signaling for Minimizing Inductive Cross-Talk.
Proceedings of the 38th Design Automation Conference, 2001

Using Conduction Modes Basis Functions for Efficient Electromagnetic Analysis of On-Chip and Off-Chip Interconnect.
Proceedings of the 38th Design Automation Conference, 2001

2000
Emerging simulation approaches for micromachined devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

A rank-one update method for efficient processing of interconnect parasitics in timing analysis.
Proceedings of the 37th Conference on Design Automation, 2000

Fast methods for extraction and sparsification of substrate coupling.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Improving the efficiency of parasitic extraction and simulation of 3D interconnect models.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Path toward future CAD environments for MEMS (tutorial abstract).
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Advances in transistor timing, simulation, and optimization (tutorial abstract).
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

A wide frequency range surface integral formulation for 3-D RLC extraction.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Efficient model reduction of interconnect via approximate system gramians.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

A Multiscale Method for Fast Capacitance Extraction.
Proceedings of the 36th Conference on Design Automation, 1999

Time-Mapped Harmonic Balance.
Proceedings of the 36th Conference on Design Automation, 1999

An Efficient Lyapunov Equation-Based Approach for Generating Reduced-Order Models of Interconnect.
Proceedings of the 36th Conference on Design Automation, 1999

Interconnect Analysis: From 3-D Structures to Circuit Models.
Proceedings of the 36th Conference on Design Automation, 1999

Efficient Computation of Quasi-Periodic Circuit Operating Conditions via a Mixed Frequency/Time Approach.
Proceedings of the 36th Conference on Design Automation, 1999

Grid selection strategies for time-mapped harmonic balance simulation of circuits with rapid transitions.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999

1998
Second-kind integral formulations of the capacitance problem.
Adv. Comput. Math., 1998

An Efficient Algorithm for Fast Parasitic Extraction and Passive Order Reduction of 3D Interconnect Models.
Proceedings of the 1998 Design, 1998

Layout Techniques for Minimizing On-Chip Interconnect Self Inductance.
Proceedings of the 35th Conference on Design Automation, 1998

A Mixed Nodal-Mesh Formulation for Efficient Extraction and Passive Reduced-Order Modeling of 3D Interconnects.
Proceedings of the 35th Conference on Design Automation, 1998

Multilevel Integral Equation Methods for the Extraction of Substrate Coupling Parameters in Mixed-Signal IC's.
Proceedings of the 35th Conference on Design Automation, 1998

1997
A precorrected-FFT method for electrostatic analysis of complicated 3-D structures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Estimation of average switching activity in combinational logic circuits using symbolic simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Efficient formulation and model-order reduction for the transient simulation of three-dimensional VLSI interconnect.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

FastPep: a fast parasitic extraction program for complex three-dimensional geometries.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

1996
Simulation of semiconductor devices using a Galerkin/spherical harmonic expansion approach to solving the coupled Poisson-Boltzmann system.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Accelerated waveform methods for parallel transient simulation of semiconductor devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Stability criteria for Arnoldi-based model-order reduction.
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996

Efficient AC and Noise Analysis of Two-Tone RF Circuits.
Proceedings of the 33st Conference on Design Automation, 1996

Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Optimal Convolution SOR Acceleration of Waveform Relaxation with Application to Parallel Simulation of Semiconductor Devices.
SIAM J. Sci. Comput., 1995

Efficient reduced-order modeling for the transient simulation of three-dimensional interconnect.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Efficient reduced-order modeling of frequency-dependent coupling inductances associated with 3-D interconnect structures.
Proceedings of the 1995 European Design and Test Conference, 1995

Efficient Steady-State Analysis Based on Matrix-Free Krylov-Subspace Methods.
Proceedings of the 32st Conference on Design Automation, 1995

Transient Simulations of Three-Dimensional Integrated Circuit Interconnect Using a Mixed Surface-Volume Approach.
Proceedings of the 32st Conference on Design Automation, 1995

Efficient Galerkin techniques for multipole-accelerated capacitance extraction of 3-D structures with multiple dielectrics.
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995

12. Multipole and Precorrected-FFT Accelerated Iterative Methods for Solving Surface Integral Formulations of Three-dimensional Laplace Problems.
Proceedings of the Domain-Based Parallelism and Problem Decomposition Methods in Computational Science and Engineering, 1995

1994
Preconditioned, Adaptive, Multipole-Accelerated Iterative Methods for Three-Dimensional First-Kind Integral Equations of Potential Theory.
SIAM J. Sci. Comput., 1994

A precorrected-FFT method for capacitance extraction of complicated 3-D structures.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

An Efficient Approach to Transmission Line Simulation Using Measured or Tabulated S-parameter Data.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Computation of drain and substrate currents in ultra-short-channel nMOSFET's using the hydrodynamic model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Massively parallel simulation algorithms for grid-based analog signal processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

A-Stability of Multirate Integration Methods, with Application to Parallel Semiconductor Device Simulation.
Proceedings of the Sixth SIAM Conference on Parallel Processing for Scientific Computing, 1993

Accelerated waveform methods for parallel transient simulation of semiconductor devices.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

A relaxation/multipole-accelerated scheme for self-consistent electromechanical analysis of complex 3-D microelectromechanical structures.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
On exponential fitting for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Efficient techniques for inductance extraction of complex 3-D geometries.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Multipole-Accelerated 3-D Capacitance Extraction Algorithms for Structures with Conformal Dielectrics.
Proceedings of the 29th Design Automation Conference, 1992

Estimation of Average Switching Activity in Combinational and Sequential Circuits.
Proceedings of the 29th Design Automation Conference, 1992

1991
FastCap: a multipole accelerated 3-D capacitance extraction program.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Fast Capacitance Extraction of General Three-Dimensional Structures.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

A Modified Envelope-Following Approach to Clocked Analog Circuit Simulation.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Conjugate Direction Waveform Methods for Transient Two-Dimensional Simulation for MOS Devices.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

1990
Accelerating relaxation algorithms for circuit simulation using waveform-Newton and step-size refinement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Parallel Simulation Algorithms for Grid-Based Analog Signal Processors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Steady-state methods for simulating analog and microwave circuits.
The Kluwer international series in engineering and computer science 94, Kluwer, ISBN: 978-0-7923-9069-5, 1990

1989
Waveform relaxation for transient simulation of two-dimensional MOS devices.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

1988
A band relaxation algorithm for reliable and parallelizable circuit simulation.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

An envelope-following method for the efficient transient simulation of switching power and filter circuits.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988


  Loading...