Res Saleh

Affiliations:
  • University of British Columbia, Department of Electrical and Computer Engineering, Vancouver, BC, Canada
  • Simplex Solutions, Sunnyvale, CA, USA
  • University of Illinois, Department of Electrical and Computer Engineering, Urbana, IL, USA
  • University of California, Berkeley, CA, USA (PhD 1986)


According to our database1, Res Saleh authored at least 82 papers between 1988 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
Statistical Properties of the log-cosh Loss Function Used in Machine Learning.
CoRR, 2022

Rapid Design-Space Exploration for Low-Power Manycores Under Process Variation Utilizing Machine Learning.
IEEE Access, 2022

2021
Solution to the Non-Monotonicity and Crossing Problems in Quantile Regression.
CoRR, 2021

2019
Energy Optimization for Large-Scale 3D Manycores in the Dark-Silicon Era.
IEEE Access, 2019

2011
Autonomous, Multilevel Ring Tuning Scheme for Post-Silicon Active Clock Deskewing Over Intra-Die Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A 10 Gb/s low-power serdes receiver based on a hybrid speculative/SAR digitization technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

RTL delay macro-modeling with Vt and Vdd variability.
Proceedings of the 6th IEEE International Design and Test Workshop, 2011

Sequence pair based voltage island floorplanning.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

2010
Energy Optimization for Many-Core Platforms: Communication and PVT Aware Voltage-Island Formation and Voltage Selection Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

2009
Application-Driven Voltage-Island Partitioning for Low-Power System-on-Chip Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

An Improved Active Decoupling Capacitor for "Hot-Spot" Supply Noise Reduction in ASIC Designs.
IEEE J. Solid State Circuits, 2009

Removal-Cost Method: An efficient voltage selection algorithm for multi-core platforms under PVT.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

Simultaneous PVT-tolerant voltage-island formation and core placement for thousand-core platforms.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

Active decap design considerations for optimal supply noise reduction.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

PVT variation impact on voltage island formation in MPSoC design.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Charge-borrowing decap: A novel circuit for removal of local supply noise violations.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Layout of Decoupling Capacitors in IP Blocks for 90-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Adaptive Compensation of RF Front-End Nonidealities in Direct Conversion Receivers.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Fast Design Space Exploration Using Local Regression Modeling With Application to ASIPs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Supply voltage selection in Voltage Island based SoC design.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

A Fully-Integrated 2.4 GHz Mismatch-Controllable RF Front-end Test Platform in 0.18µm CMOS.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Partitioning for Selective Flip-Flop Redundancy in Sequential Circuits.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Delay macromodeling and estimation for RTL.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Application-driven floorplan-aware voltage island design.
Proceedings of the 45th Design Automation Conference, 2008

2007
Generalized Power-Delay Metrics in Deep Submicron CMOS Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Testing Network-on-Chip Communication Fabrics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Design and implementation of reconfigurable and flexible test access mechanism for system-on-chip.
Integr., 2007

Power Supply Noise in SoCs: Metrics, Management, and Measurement.
IEEE Des. Test Comput., 2007

DFM-EDA's Salvation or its Excuse for Being out of Touch with Engineering?
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Power, Delay and Yield Analysis of BIST/BISR PLAs Using Column Redundancy.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

A Novel Active Decoupling Capacitor Design in 90nm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Power Reduction of On-Chip Serial Links.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Essential Fault-Tolerance Metrics for NoC Infrastructures.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Automatic Cache Tuning for Energy-Efficiency using Local Regression Modeling.
Proceedings of the 44th Design Automation Conference, 2007

2006
The application of complex quantized feedback in integrated wireless receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

System-on-Chip: Reuse and Integration.
Proc. IEEE, 2006

BIST for Network-on-Chip Interconnect Infrastructures.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

A "Soft++" eFPGA Physical Design Approach with Case Studies in 180nm and 90nm.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Fast Configuration of an Energy-Efficient Branch Predictor.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Novel Decoupling Capacitor Designs for sub- 90nm CMOS Technology.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

A high-speed low-energy dynamic PLA using an input-isolation scheme.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Testable and self-repairable structured logic design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

On-line Fault Detection and Location for NoC Interconnects.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

NoC Interconnect Yield Improvement Using Crosspoint Redundancy.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

2005
Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures.
IEEE Trans. Computers, 2005

Timing analysis of network on chip architectures for MP-SoC platforms.
Microelectron. J., 2005

An approach that will NoC your SoCs off!
IEEE Des. Test Comput., 2005

Design, Synthesis, and Test of Networks on Chips.
IEEE Des. Test Comput., 2005

Power-Delay Metrics Revisited for 90nm CMOS Technology.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Effect of traffic localization on energy dissipation in NoC-based interconnect.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Methodologies and Algorithms for Testing Switch-Based NoC Interconnects.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

An improved "soft" eFPGA design and implementation strategy.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
A Scalable Communication-Centric SoC Interconnect Architecture.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
A technique for DC-offset removal and carrier phase error compensation in integrated wireless receivers.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Design of a switch for network on chip applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Analog IP design flow for SoC applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

SoC implementation issues for synthesizable embedded programmable logic cores.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2003

2002
Dedicated Autonomous Scan-Based Testing (DAST) for Embedded Cores.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Trends in Low Power Digital System-on-Chip Designs (invited).
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

2000
Clock skew verification in the presence of IR-drop in the powerdistribution network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

1998
Full-chip verification of UDSM designs.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

1996
Multilevel and mixed-domain simulation of analog circuits and systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A multifrequency technique for frequency response computation with application to switched-capacitor circuits with nonlinearities.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

1995
Improving Parallel Circuit Simulation Using High-Level Waveforms.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

1994
Mixed-mode simulation and analog multilevel simulation.
The Kluwer international series in engineering and computer science, Kluwer, ISBN: 978-0-7923-9473-0, 1994

1993
Improving the performance of parallel relaxation-based circuit simulators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

1992
Parallel waveform-Newton algorithms for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Exact Evaluation of Diagnostic Test Resolution.
Proceedings of the 29th Design Automation Conference, 1992

Incremental Circuit Simulation Using Waveform Relaxation.
Proceedings of the 29th Design Automation Conference, 1992

1991
Consistency checking and optimization of macromodels.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Parallel Event-Driven Waveform Relaxation.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

Identification of Viable Paths Using Binary Decision Diagrams.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

iMACSIM: A Program for Multi-Level Analog Circuit Simulation.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Incremental Techniques for the Identification of Statically Sensitizable Critical Paths.
Proceedings of the 28th Design Automation Conference, 1991

1990
Accelerating relaxation algorithms for circuit simulation using waveform-Newton and step-size refinement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Mixed-Mode Incremental Simulation and Concurrent Fault Simulation.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Parallel Circuit Simulation Using Hierarchical Relaxation.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
The exploitation of latency and multirate behavior using nonlinear relaxation for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

1988
Compaction of ATPG-generated test sequences for sequential circuits.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988


  Loading...