Srini Devadas

Affiliations:
  • Massachusetts Institute of Technology, Cambridge, MA, USA


According to our database1, Srini Devadas authored at least 335 papers between 1986 and 2023.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2014, "For contributions to secure and energy-efficient hardware.".

IEEE Fellow

IEEE Fellow 1998, "For contributions to logic design and design automation.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Guest Editorial: IEEE Transactions on Computer, Special Issue on Hardware Security.
IEEE Trans. Computers, February, 2023

Robust Near-Optimal Arm Identification With Strongly-Adaptive Adversaries.
IEEE Trans. Signal Process., 2023

Citadel: Side-Channel-Resistant Enclaves with Secure Shared Memory on a Speculative Out-of-Order Processor.
CoRR, 2023

Remote Direct Memory Introspection.
Proceedings of the 32nd USENIX Security Symposium, 2023

A Theory to Instruct Differentially-Private Learning via Clipping Bias Reduction.
Proceedings of the 44th IEEE Symposium on Security and Privacy, 2023

PAC Privacy: Automatic Privacy Measurement and Control of Data Processing.
Proceedings of the Advances in Cryptology - CRYPTO 2023, 2023

Geometry of Sensitivity: Twice Sampling and Hybrid Clipping in Differential Privacy with Optimal Gaussian Noise and Application to Deep Learning.
Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, 2023

2022
An Architecture to Accelerate Computation on Encrypted Data.
IEEE Micro, 2022

Shanrang: Fully Asynchronous Proactive Secret Sharing with Dynamic Committees.
IACR Cryptol. ePrint Arch., 2022

Trellis: Robust and Scalable Metadata-private Anonymous Broadcast.
IACR Cryptol. ePrint Arch., 2022

Guest Editors' Introduction: Special Issue on 2021 Top Picks in Hardware and Embedded Security.
IEEE Des. Test, 2022

Differentially Private Deep Learning with ModelMix.
CoRR, 2022

PAC Security: Automatic Privacy Measurement and Control of Data Processing.
CoRR, 2022

Private Approximate Nearest Neighbor Search with Sublinear Communication.
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022

ShorTor: Improving Tor Network Latency via Multi-hop Overlay Routing.
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022

Litmus: Towards a Practical Database Management System with Verifiable ACID Properties and Transaction Correctness.
Proceedings of the SIGMOD '22: International Conference on Management of Data, Philadelphia, PA, USA, June 12, 2022

Spectrum: High-bandwidth Anonymous Broadcast.
Proceedings of the 19th USENIX Symposium on Networked Systems Design and Implementation, 2022

CraterLake: a hardware accelerator for efficient unbounded computation on encrypted data.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Designing Hardware for Cryptography and Cryptography for Hardware.
Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, 2022

2021
Accelerating Robot Dynamics Gradients on a CPU, GPU, and FPGA.
IEEE Robotics Autom. Lett., 2021

Towards Understanding Practical Randomness Beyond Noise: Differential Privacy and Mixup.
IACR Cryptol. ePrint Arch., 2021

The Art of Labeling: Task Augmentation for Private(Collaborative) Learning on Transformed Data.
IACR Cryptol. ePrint Arch., 2021

DAUnTLeSS: Data Augmentation and Uniform Transformation for Learning with Scalability and Security.
IACR Cryptol. ePrint Arch., 2021

Lightweight Private Similarity Search.
IACR Cryptol. ePrint Arch., 2021

AdVeil: A Private Targeted-Advertising Ecosystem.
IACR Cryptol. ePrint Arch., 2021

Spectrum: High-Bandwidth Anonymous Broadcast with Malicious Security.
IACR Cryptol. ePrint Arch., 2021

F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption (Extended Version).
CoRR, 2021

F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

2020
A Retrospective on Path ORAM.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Taurus: Lightweight Parallel Logging for In-Memory Database Management Systems.
Proc. VLDB Endow., 2020

Expected Constant Round Byzantine Broadcast under Dishonest Majority.
IACR Cryptol. ePrint Arch., 2020

Round-Efficient Byzantine Broadcast under Strongly Adaptive and Majority Corruptions.
IACR Cryptol. ePrint Arch., 2020

Taurus: Lightweight Parallel Logging for In-Memory Database Management Systems (Extended Version).
CoRR, 2020

Towards Scalable Threshold Cryptosystems.
Proceedings of the 2020 IEEE Symposium on Security and Privacy, 2020

XRD: Scalable Messaging System with Cryptographic Privacy.
Proceedings of the 17th USENIX Symposium on Networked Systems Design and Implementation, 2020

On Differentially Private Stochastic Convex Optimization with Heavy-tailed Data.
Proceedings of the 37th International Conference on Machine Learning, 2020

2019
Design and Implementation of the Ascend Secure Processor.
IEEE Trans. Dependable Secur. Comput., 2019

Var-CNN: A Data-Efficient Website Fingerprinting Attack Based on Deep Learning.
Proc. Priv. Enhancing Technol., 2019

Sanctorum: A lightweight security monitor for secure enclaves.
IACR Cryptol. ePrint Arch., 2019

On Privacy-preserving Decentralized Optimization through Alternating Direction Method of Multipliers.
CoRR, 2019

LiTM: A Lightweight Deterministic Software Transactional Memory System.
Proceedings of the 10th International Workshop on Programming Models and Applications for Multicores and Manycores, 2019

MI6: Secure Enclaves in a Speculative Out-of-Order Processor.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Benchmarking and Workload Analysis of Robot Dynamics Algorithms.
Proceedings of the 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2019

2018
Sundial: Harmonizing Concurrency Control and Caching in a Distributed OLTP Database Management System.
Proc. VLDB Endow., 2018

Path ORAM: An Extremely Simple Oblivious RAM Protocol.
J. ACM, 2018

Transparency Logs via Append-only Authenticated Dictionaries.
IACR Cryptol. ePrint Arch., 2018

Secure Boot and Remote Attestation in the Sanctum Processor.
IACR Cryptol. ePrint Arch., 2018

DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors.
IACR Cryptol. ePrint Arch., 2018

Synchronous Byzantine Agreement with Expected O(1) Rounds, Expected O(n<sup>2)</sup> Communication, and Optimal Resilience.
IACR Cryptol. ePrint Arch., 2018

Var-CNN and DynaFlow: Improved Attacks and Defenses for Website Fingerprinting.
CoRR, 2018

DynaFlow: An Efficient Website Fingerprinting Defense Based on Dynamically-Adjusting Flows.
Proceedings of the 2018 Workshop on Privacy in the Electronic Society, 2018

Mission Assurance: Beyond Secure Processing.
Proceedings of the 2018 IEEE International Conference on Software Quality, 2018

Secure High-Performance Computer Architectures: Challenges and Opportunities.
Proceedings of the 25th IEEE International Conference on High Performance Computing, 2018

Invited Paper: Secure Boot and Remote Attestation in the Sanctum Processor.
Proceedings of the 31st IEEE Computer Security Foundations Symposium, 2018

2017
Trapdoor Computational Fuzzy Extractors and Stateless Cryptographically-Secure Physical Unclonable Functions.
IEEE Trans. Dependable Secur. Comput., 2017

A Formal Foundation for Secure Remote Execution of Enclaves.
IACR Cryptol. ePrint Arch., 2017

Practical Synchronous Byzantine Consensus.
IACR Cryptol. ePrint Arch., 2017

Bandwidth Hard Functions for ASIC Resistance.
IACR Cryptol. ePrint Arch., 2017

Public Key Cryptosystems with Noisy Secret Keys.
IACR Cryptol. ePrint Arch., 2017

On Iterative Collision Search for LPN and Subset Sum.
IACR Cryptol. ePrint Arch., 2017

Secure Processors Part II: Intel SGX Security Analysis and MIT Sanctum Architecture.
Found. Trends Electron. Des. Autom., 2017

Secure Processors Part I: Background, Taxonomy for Secure Enclaves and Intel SGX Architecture.
Found. Trends Electron. Des. Autom., 2017

FPGA Implementation of a Cryptographically-Secure PUF Based on Learning Parity with Noise.
Cryptogr., 2017

PriviPK: Certificate-less and secure email communication.
Comput. Secur., 2017

Pervasive, dynamic authentication of physical items.
Commun. ACM, 2017

Brief Announcement: Practical Synchronous Byzantine Consensus.
Proceedings of the 31st International Symposium on Distributed Computing, 2017

Catena: Efficient Non-equivocation via Bitcoin.
Proceedings of the 2017 IEEE Symposium on Security and Privacy, 2017

Atom: Horizontally Scaling Strong Anonymity.
Proceedings of the 26th Symposium on Operating Systems Principles, 2017

Leveraging Hardware Isolation for Process Level Access Control & Authentication.
Proceedings of the 22nd ACM on Symposium on Access Control Models and Technologies, 2017

Banshee: bandwidth-efficient DRAM caching via software/hardware cooperation.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Using Application-Level Thread Progress Information to Manage Power and Performance.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Secure Hardware and Cryptography: Contrasts, Synergies and Challenges.
Proceedings of the 2017 Workshop on Attacks and Solutions in Hardware Security, 2017

2016
A Lockdown Technique to Prevent Machine Learning on PUFs for Lightweight Authentication.
IEEE Trans. Multi Scale Comput. Syst., 2016

Locality-aware data replication in the last-level cache for large scale multicores.
J. Supercomput., 2016

LDAC: Locality-Aware Data Access Control for Large-Scale Multicore Cache Hierarchies.
ACM Trans. Archit. Code Optim., 2016

Riffle: An Efficient Communication System With Strong Anonymity.
Proc. Priv. Enhancing Technol., 2016

Catena: Preventing Lies with Bitcoin.
IACR Cryptol. ePrint Arch., 2016

Beaver: A Decentralized Anonymous Marketplace with Secure Reputation.
IACR Cryptol. ePrint Arch., 2016

Proof of Space from Stacked Bipartite Graphs.
IACR Cryptol. ePrint Arch., 2016

Intel SGX Explained.
IACR Cryptol. ePrint Arch., 2016

Atom: Scalable Anonymity Resistant to Traffic Analysis.
CoRR, 2016

Sanctum: Minimal Hardware Extensions for Strong Software Isolation.
Proceedings of the 25th USENIX Security Symposium, 2016

Proof of Space from Stacked Expanders.
Proceedings of the Theory of Cryptography - 14th International Conference, 2016

Onion ORAM: A Constant Bandwidth Blowup Oblivious RAM.
Proceedings of the Theory of Cryptography - 13th International Conference, 2016

TicToc: Time Traveling Optimistic Concurrency Control.
Proceedings of the 2016 International Conference on Management of Data, 2016

Tardis 2.0: Optimized Time Traveling Coherence for Relaxed Consistency Models.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016

2015
Onion ORAM: A Constant Bandwidth and Constant Client Storage ORAM (without FHE or SWHE).
IACR Cryptol. ePrint Arch., 2015

Sanctum: Minimal RISC Extensions for Isolated Execution.
IACR Cryptol. ePrint Arch., 2015

A Proof of Correctness for the Tardis Cache Coherence Protocol.
CoRR, 2015

Tardis 2.0: An Optimized Time Traveling Coherence Protocol.
CoRR, 2015

TARDIS: Timestamp based Coherence Algorithm for Distributed Shared Memory.
CoRR, 2015

The Execution Migration Machine: Directoryless Shared-Memory Architecture.
Computer, 2015

Constants Count: Practical Improvements to Oblivious RAM.
Proceedings of the 24th USENIX Security Symposium, 2015

Circuit Fingerprinting Attacks: Passive Deanonymization of Tor Hidden Services.
Proceedings of the 24th USENIX Security Symposium, 2015

IMP: indirect memory prefetcher.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

PrORAM: dynamic prefetcher for oblivious RAM.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

Maximum-likelihood decoding of device-specific multi-bit symbols for reliable key generation.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015

A Low-Latency, Low-Area Hardware Oblivious RAM Controller.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

Freecursive ORAM: [Nearly] Free Recursion and Integrity Verification for Position-based Oblivious RAM.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

Tardis: Time Traveling Coherence Algorithm for Distributed Shared Memory.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

OSPREY: Implementation of Memory Consistency Models for Cache Coherence Protocols involving Invalidation-Free Data Access.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

2014
Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching.
IEEE Trans. Emerg. Top. Comput., 2014

Staring into the Abyss: An Evaluation of Concurrency Control with One Thousand Cores.
Proc. VLDB Endow., 2014

Physical Unclonable Functions and Applications: A Tutorial.
Proc. IEEE, 2014

Simultaneous Alignment and Folding of Protein Sequences.
J. Comput. Biol., 2014

Enhancing Oblivious RAM Performance Using Dynamic Prefetching.
IACR Cryptol. ePrint Arch., 2014

Unified Oblivious-RAM: Improving Recursive ORAM with Locality and Pseudorandomness.
IACR Cryptol. ePrint Arch., 2014

Ring ORAM: Closing the Gap Between Small and Large Client Storage Oblivious RAM.
IACR Cryptol. ePrint Arch., 2014

Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines.
IACR Cryptol. ePrint Arch., 2014

Trapdoor Computational Fuzzy Extractors.
IACR Cryptol. ePrint Arch., 2014

RAW Path ORAM: A Low-Latency, Low-Area Hardware ORAM Controller with Integrity Verification.
IACR Cryptol. ePrint Arch., 2014

Thread Migration Prediction for Distributed Shared Caches.
IEEE Comput. Archit. Lett., 2014

A self-aware processor SoC using energy monitors integrated into power converters for self-adaptation.
Proceedings of the Symposium on VLSI Circuits, 2014

Power modeling and other new features in the Graphite simulator.
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014

Author retrospective for analytical cache models with applications to cache partitioning.
Proceedings of the ACM International Conference on Supercomputing 25th Anniversary Volume, 2014

Author retrospective AEGIS: architecture for tamper-evident and tamper-resistant processing.
Proceedings of the ACM International Conference on Supercomputing 25th Anniversary Volume, 2014

Algorithms for scheduling task-based applications onto heterogeneous many-core architectures.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2014

Low-overhead hard real-time aware interconnect network router.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2014

Locality-aware data replication in the Last-Level Cache.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Suppressing the Oblivious RAM timing channel while making information leakage and program efficiency trade-offs.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

A noise bifurcation architecture for linear additive physical functions.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

2013
PUF Modeling Attacks on Simulated and Silicon Data.
IEEE Trans. Inf. Forensics Secur., 2013

Optimal and Heuristic Application-Aware Oblivious Routing.
IEEE Trans. Computers, 2013

Path ORAM: An Extremely Simple Oblivious RAM Protocol.
IACR Cryptol. ePrint Arch., 2013

Design Space Exploration and Optimization of Path Oblivious RAM in Secure Processors.
IACR Cryptol. ePrint Arch., 2013

Toward a Coherent Multicore Memory Model.
Computer, 2013

A framework to accelerate sequential programs on homogeneous multicores.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

The locality-aware adaptive cache coherence protocol.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Design tradeoffs for simplicity and efficient verification in the Execution Migration Machine.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Integrity verification for path Oblivious-RAM.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2013

Hardware-level thread migration in a 110-core shared-memory multiprocessor.
Proceedings of the 2013 IEEE Hot Chips 25 Symposium (HCS), 2013

Heracles: a tool for fast RTL-based design space exploration of multicore processors.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

MARTHA: architecture for control and emulation of power electronics and smart grid systems.
Proceedings of the Design, Automation and Test in Europe, 2013

Generalized external interaction with tamper-resistant hardware with bounded information leakage.
Proceedings of the CCSW'13, 2013

Authenticated storage using small trusted hardware.
Proceedings of the CCSW'13, 2013

2012
Selecting Spatiotemporal Patterns for Development of Parallel Applications.
IEEE Trans. Parallel Distributed Syst., 2012

HORNET: A Cycle-Level Multicore Simulator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Compilation Techniques for Efficient Encrypted Computation.
IACR Cryptol. ePrint Arch., 2012

Slender PUF Protocol: A Lightweight, Robust, and Secure Authentication by Substring Matching.
Proceedings of the 2012 IEEE Symposium on Security and Privacy Workshops, 2012

Lynx: A Programmatic SAT Solver for the RNA-Folding Problem.
Proceedings of the Theory and Applications of Satisfiability Testing - SAT 2012, 2012

Performance metrics and empirical results of a PUF cryptographic key generation ASIC.
Proceedings of the 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, 2012

Self-aware computing in the Angstrom processor.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Towards an interpreter for efficient encrypted computation.
Proceedings of the 2012 ACM Workshop on Cloud computing security, 2012

A low-overhead dynamic optimization framework for multicores.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012

2011
Efficient Traversal of Beta-Sheet Protein Folding Pathways Using Ensemble Models.
J. Comput. Biol., 2011

DCC: A Dependable Cache Coherence Multicore Architecture.
IEEE Comput. Archit. Lett., 2011

A method for probing the mutational landscape of amyloid structure.
Bioinform., 2011

Brief announcement: distributed shared memory based on computation migration.
Proceedings of the SPAA 2011: Proceedings of the 23rd Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2011

Time-Predictable Computer Architecture for Cyber-Physical Systems: Digital Emulation of Power Electronics Systems.
Proceedings of the 32nd IEEE Real-Time Systems Symposium, 2011

Deadlock-free fine-grained thread migration.
Proceedings of the NOCS 2011, 2011

Scalable, accurate multicore simulation in the 1000-core era.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011

Memory coherence in the age of multicores.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

ARCc: A case for an architecturally redundant cache-coherence architecture for large multicores.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Reliable and efficient PUF-based key generation using pattern matching.
Proceedings of the HOST 2011, 2011

Security challenges and opportunities in adaptive and reconfigurable hardware.
Proceedings of the HOST 2011, 2011

Heracles: Fully Synthesizable Parameterized MIPS-Based Multicore System.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2011 - 13th International Workshop, Nara, Japan, September 28, 2011

FPGA-Based True Random Number Generation Using Circuit Metastability with Adaptive Feedback Control.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2011 - 13th International Workshop, Nara, Japan, September 28, 2011

2010
Modeling Attacks on Physical Unclonable Functions.
IACR Cryptol. ePrint Arch., 2010

Secure and Robust Error Correction for Physical Unclonable Functions.
IEEE Des. Test Comput., 2010

FPGA PUF using programmable delay lines.
Proceedings of the 2010 IEEE International Workshop on Information Forensics and Security, 2010

2009
RNAmutants: a web server to explore the mutational landscape of RNA secondary structures.
Nucleic Acids Res., 2009

Efficient stochastic simulation of reaction-diffusion processes via direct compilation.
Bioinform., 2009

Static virtual channel allocation in oblivious routing.
Proceedings of the Third International Symposium on Networks-on-Chips, 2009

Path-based, randomized, oblivious, minimal routing.
Proceedings of the Second International Workshop on Network on Chip Architectures, 2009

Application-aware deadlock-free oblivious routing.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Physical Unclonable Functions and Secure Processors.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2009

Oblivious Routing in On-Chip Bandwidth-Adaptive Networks.
Proceedings of the PACT 2009, 2009

2008
Controlled physical random functions and applications.
ACM Trans. Inf. Syst. Secur., 2008

Efficient Algorithms for Probing the RNA Mutation Landscape.
PLoS Comput. Biol., 2008

Offline count-limited certificates.
Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), 2008

Diastolic arrays: throughput-driven reconfigurable computing.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

The Trusted Execution Module: Commodity General-Purpose Trusted Computing.
Proceedings of the Smart Card Research and Advanced Applications, 2008

2007
Learning biophysically-motivated parameters for alpha helix prediction.
BMC Bioinform., 2007

Physical Unclonable Functions for Device Authentication and Secret Key Generation.
Proceedings of the 44th Design Automation Conference, 2007

Offline untrusted storage with immediate detection of forking and replay attacks.
Proceedings of the 2nd ACM Workshop on Scalable Trusted Computing, 2007

2006
Speeding up Exponentiation using an Untrusted Computational Resource.
Des. Codes Cryptogr., 2006

Knowledge Flow Analysis for Security Protocols
CoRR, 2006

A Generalized Two-Phase Analysis of Knowledge Flows in Security Protocols
CoRR, 2006

Predicting Secondary Structure of All-Helical Proteins Using Hidden Markov Support Vector Machines.
Proceedings of the Pattern Recognition in Bioinformatics, International Workshop, 2006

Virtual monotonic counters and count-limited objects using a TPM without a trusted OS.
Proceedings of the 1st ACM Workshop on Scalable Trusted Computing, 2006

2005
Extracting secret keys from integrated circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2005

AEGIS: A single-chip secure processor.
Inf. Secur. Tech. Rep., 2005

Towards Constant Bandwidth Overhead Integrity Checking of Untrusted Data.
Proceedings of the 2005 IEEE Symposium on Security and Privacy (S&P 2005), 2005

Design and Implementation of the AEGIS Single-Chip Secure Processor Using Physical Random Functions.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

2004
Dynamic Partitioning of Shared Cache Memory.
J. Supercomput., 2004

Power Estimation Using Probability Polynomials.
Des. Autom. Embed. Syst., 2004

Access-controlled resource discovery in pervasive networks.
Concurr. Pract. Exp., 2004

Identification and authentication of integrated circuits.
Concurr. Pract. Exp., 2004

Rate Guarantees and Overload Protection in Input-Queued Switches.
Proceedings of the Proceedings IEEE INFOCOM 2004, 2004

Secure program execution via dynamic information flow tracking.
Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004

2003
Techniques for accurate performance evaluation in architecture exploration.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Delay-Based Circuit Authentication and Applications.
Proceedings of the 2003 ACM Symposium on Applied Computing (SAC), 2003

Efficient Memory Integrity Verification and Encryption for Secure Processors.
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003

AEGIS: architecture for tamper-evident and tamper-resistant processing.
Proceedings of the 17th Annual International Conference on Supercomputing, 2003

Caches and Hash Trees for Efficient Memory Integrity Verification.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

Embedded intelligent SRAM.
Proceedings of the 40th Design Automation Conference, 2003

Incremental Multiset Hash Functions and Their Application to Memory Integrity Checking.
Proceedings of the Advances in Cryptology - ASIACRYPT 2003, 9th International Conference on the Theory and Application of Cryptology and Information Security, Taipei, Taiwan, November 30, 2003

2002
Functional vector generation for sequential HDL models under an observability-based code coverage metric.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Proxy-based security protocols in networked mobile devices.
Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), 2002

The Untrusted Computer Problem and Camera-Based Authentication.
Proceedings of the Pervasive Computing, 2002

A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002

Silicon physical random functions.
Proceedings of the 9th ACM Conference on Computer and Communications Security, 2002

Controlled Physical Random Functions.
Proceedings of the 18th Annual Computer Security Applications Conference (ACSAC 2002), 2002

2001
OCCOM-efficient computation of observability-based code coveragemetrics for functional verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Functional vector generation for HDL models using linearprogramming and Boolean satisfiability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Effects of Memory Performance on Parallel Job Scheduling.
Proceedings of the Job Scheduling Strategies for Parallel Processing, 2001

Analytical cache models with applications to cache partitioning.
Proceedings of the 15th international conference on Supercomputing, 2001

Software-Assisted Cache Replacement Mechanisms for Embedded Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

2000
Solving covering problems using LPR-based lower bounds.
IEEE Trans. Very Large Scale Integr. Syst., 2000

ISDL: An Instruction Set Description Language for Retargetability and Architecture Exploration.
Des. Autom. Embed. Syst., 2000

A New Approach to Solving the Hardware-Software Partitioning Problem in Embedded System Design.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

Observability Analysis of Embedded Software for Coverage-Directed Validation.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Application-specific memory management for embedded systems using software-controlled caches.
Proceedings of the 37th Conference on Design Automation, 2000

1999
A text-compression-based method for code size minimization in embedded systems.
ACM Trans. Design Autom. Electr. Syst., 1999

Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures.
Des. Autom. Embed. Syst., 1999

CAD Techniques for Embedded System Design.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Automatic architecture evaluation for hardware/software codesign.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

A probabilistic approach for RT-level power modeling.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

A Methodology for Accurate Performance Evaluation in Architecture Exploration.
Proceedings of the 36th Conference on Design Automation, 1999

Simulation Vector Generation from HDL Descriptions for Observability-Enhanced Statement Coverage.
Proceedings of the 36th Conference on Design Automation, 1999

1998
A low power, low bandwidth protocol for remote wireless terminals.
Wirel. Networks, 1998

A new viewpoint on code generation for directed acyclic graphs.
ACM Trans. Design Autom. Electr. Syst., 1998

BDD-based synthesis of extended burst-mode controllers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Sequential logic optimization for low power using input-disabling precomputation architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Code density optimization for embedded DSP processors using data compression techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Power Estimation Under User-Specified Input Sequences and Programs.
Integr. Comput. Aided Eng., 1998

Code Optimization Techniques in Embedded DSP Microprocessors.
Des. Autom. Embed. Syst., 1998

An algorithmic approach to optimizing fault coverage for BIST logic synthesis.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator.
Proceedings of the 35th Conference on Design Automation, 1998

OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification.
Proceedings of the 35th Conference on Design Automation, 1998

Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Estimation of average switching activity in combinational logic circuits using symbolic simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Switching activity estimation using limited depth reconvergent path analysis.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Solving Covering Problems Using LPR-Based Lower Bounds.
Proceedings of the 34st Conference on Design Automation, 1997

ISDL: An Instruction Set Description Language for Retargetability.
Proceedings of the 34st Conference on Design Automation, 1997

1996
Techniques for power estimation and optimization at the logic level: A survey.
J. VLSI Signal Process., 1996

Correction to "Power Estimation Methods for Sequential Logic Circuits" [Correspondence].
IEEE Trans. Very Large Scale Integr. Syst., 1996

Storage Assignment to Decrease Code Size.
ACM Trans. Program. Lang. Syst., 1996

Addendum to "Synthesis of robust delay-fault testable circuits: Theory".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

An observability-based code coverage metric for functional simulation.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Scheduling Techniques to Enable Power Management.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Power estimation methods for sequential logic circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1995

Probabilistic manipulation of Boolean functions using free Boolean diagrams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Synthesis of hazard-free multilevel logic under multiple-input changes from binary decision diagrams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Techniques for the power estimation of sequential logic circuits under user-specified input sequences and programs.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

Instruction selection using binate covering for code size optimization.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

A Survey of Optimization Techniques Targeting Low Power VLSI Circuits.
Proceedings of the 32st Conference on Design Automation, 1995

Optimization of combinational and sequential logic circuits for low power using precomputation.
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995

1994
Verification of asynchronous interface circuits with bounded wire delays.
J. VLSI Signal Process., 1994

Certified timing verification and the transition delay of a logic circuit.
IEEE Trans. Very Large Scale Integr. Syst., 1994

Precomputation-based sequential logic optimization for low power.
IEEE Trans. Very Large Scale Integr. Syst., 1994

Event suppression: improving the efficiency of timing simulation for synchronous digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Event-based verification of synchronous, globally controlled, logic designs against signal flow graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Bitwise Encoding of Finite State Machines.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Performance-driven synthesis of asynchronous controllers.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Challenges in code generation for embedded processors.
Proceedings of the Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31, 1994

A Methodology for Efficient Estimation of Switching Activity in Sequential Logic Circuits.
Proceedings of the 31st Conference on Design Automation, 1994

Automatic Verification of Pipelined Microprocessors.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Analysis and Design of Regular Structures for Robust Dynamic Fault Testability.
VLSI Design, 1993

Statistical timing analysis of combinational logic circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Sequential test generation and synthesis for testability at the register-transfer and logic levels.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Computation of floating mode delay in combinational circuits: practice and implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Computation of floating mode delay in combinational circuits: theory and algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Comparing two-level and ordered binary decision diagram representations of logic functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Delay-fault test generation and synthesis for testability under a standard scan design methodology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Verification of relations between synchronous machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Path-delay-fault testability properties of multiplexor-based networks.
Integr., 1993

Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks.
Formal Methods Syst. Des., 1993

Guest editorial.
J. Electron. Test., 1993

A synthesis-based test generation and compaction algorithm for multifaults.
J. Electron. Test., 1993

Probabilistic construction and manipulation of free Boolean diagrams.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Retiming sequential circuits for low power.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Boolean factorization using multiple-valued minimization.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1992
Heuristic minimization of Boolean relations using testing techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Validatable nonrobust delay-fault testable circuits via logic synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Synthesis of robust delay-fault-testable circuits: practice.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Synthesis of robust delay-fault-testable circuits: theory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Boolean satisfiability and equivalence checking using general Binary Decision Diagrams.
Integr., 1992

Statistical Timing Analysis of Combinational Circuits.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

On average power dissipation and random pattern testability of CMOS combinational logic networks.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Automatic generation and verification of sufficient correctness properties for synchronous processors.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Estimation of Average Switching Activity in Combinational and Sequential Circuits.
Proceedings of the 29th Design Automation Conference, 1992

1991
Test generation and verification for highly sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Exact algorithms for output encoding, state assignment, and four-level Boolean minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

A unified approach to the synthesis of fully testable sequential machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Optimizing interacting finite state machines using sequential don't cares.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Irredundant interacting sequential machines via optimal logic synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Optimum and heuristic algorithms for an approach to finite state machine decomposition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

An automata-theoretic approach to behavioral equivalence.
Integr., 1991

Recent progress in synthesis for testability.
Proceedings of the 9th IEEE VLSI Test Symposium (VTS'91), 1991

A Partial Enhanced-Scan Approach to Robust Delay-Fault Test Generation for Sequential Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Design Verfication and Reachability Analysis Using Algebraic Manipulation.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

Finite State Machine Decomposition by Transition Pairing.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Delay Computation in Combinational Logic Circuits: Theory and Algorithms.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology.
Proceedings of the 28th Design Automation Conference, 1991

1990
Irredundant sequential machines via optimal logic synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Easily testable PLA-based finite state machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Redundancies and don't cares in sequential logic synthesis.
J. Electron. Test., 1990

Sequential logic synthesis for testability using register-transfer level descriptions.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Design of integrated circuits fully testable for delay-faults and multifaults.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Minimization of Functions with Multiple-Valued Outputs: Theory and Applications.
Proceedings of the 20th International Symposium on Multiple-Valued Logic, 1990

Testability driven synthesis of interacting finite state machines.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

Testability-Preserving Circuit Transformations.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Implicit State Transition Graphs: Applications to Sequential Logic Synthesis and Test.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Sequential Test Generation at the Register-Transfer and Logic Levels.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

Verification of Interacting Sequential Circuits.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
Logic verification algorithms and their parallel implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Decomposition and factorization of sequential finite state machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Algorithms for hardware allocation in data path synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

A synthesis and optimization procedure for fully and easily testable sequential machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Delay Test Generation for Synchronous Sequential Circuits.
Proceedings of the Proceedings International Test Conference 1989, 1989

Test generation for highly sequential circuits.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Boolean minimization and algebraic factorization procedures for fully testable sequential machines.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Optimal layout via Boolean satisfiability.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Optimum and heuristic algorithms for finite state machine decomposition and partitioning.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Easily testable PLA-based finite state machines.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989

General Decomposition of Sequential Machines: Relationships to State Assignment.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

Approaches to Multi-level Sequential Logic Synthesis.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
Test generation for sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

MUSTANG: state assignment of finite state machines targeting multilevel logic implementations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

On the verification of sequential machines at differing levels of abstraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

Techniques for multilayer channel routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

An Incomplete Scan Design Approach to Test Generation for Sequential Machines.
Proceedings of the Proceedings International Test Conference 1988, 1988

Synthesis and Optimization Procedures for Fully and Easily Testable Sequential Machines.
Proceedings of the Proceedings International Test Conference 1988, 1988

Boolean decomposition in multi-level logic optimization.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

1987
Topological Optimization of Multiple-Level Array Logic.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987

1986
GENIE: a generalized array optimizer for VLSI synthesis.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

Chameleon: a new multi-layer channel router.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986


  Loading...