Jin Yang

Orcid: 0000-0002-4372-926X

Affiliations:
  • Intel Corporation, Hillsboro, OR, USA


According to our database1, Jin Yang authored at least 43 papers between 2000 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Correct-by-Construction Design of Custom Accelerator Microarchitectures.
IEEE Trans. Computers, January, 2024

2023
Towards A Correct-by-Construction FHE Model.
IACR Cryptol. ePrint Arch., 2023

High-level Synthesis for Domain Specific Computing.
Proceedings of the 2023 International Symposium on Physical Design, 2023

An Automated Verification Framework for HalideIR-Based Compiler Transformations.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Invited: A Scalable Formal Approach for Correctness-Assured Hardware Design.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Towards A Formally Verified Fully Homomorphic Encryption Compute Engine.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

An Equivalence Checking Framework for Agile Hardware Design.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Mining Patterns From Concurrent Execution Traces.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Accelerator design with decoupled hardware customizations: benefits and challenges: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
A Hertzian contact based model to estimate thermal resistance of thermal interface material for high-performance microprocessors.
Microelectron. J., 2021

A Highly Configurable Hardware/Software Stack for DNN Inference Acceleration.
CoRR, 2021

Model Synthesis for Communication Traces of System-on-Chip Designs.
CoRR, 2021

A Comparative Study of Specification Mining Methods for SoC Communication Traces.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Mining Message Flows from System-on-Chip Execution Traces.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Model Synthesis for Communication Traces of System Designs.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug.
CoRR, 2020

Mining Message Flows using Recurrent Neural Networks for System-on-Chip Designs.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

UEFI Firmware Fuzzing with Simics Virtual Platform.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2017
A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

2016
Protocol-guided analysis of post-silicon traces under limited observability.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

2015
Correctness and security at odds: post-silicon validation of modern SoC designs.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
From visual to logical formalisms for SoC validation.
Proceedings of the Twelfth ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2014

Security of SoC firmware load protocols.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

2012
Formal-Analysis-Based Trace Computation for Post-Silicon Debug.
IEEE Trans. Very Large Scale Integr. Syst., 2012

SystemVerilog Assertions: Past, Present, and Future SVA Standardization Experience.
IEEE Des. Test Comput., 2012

2010
Optimizing equivalence checking for behavioral synthesis.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Formal Verification for High-Assurance Behavioral Synthesis.
Proceedings of the Automated Technology for Verification and Analysis, 2009

2008
BackSpace: Formal Analysis for Post-Silicon Debug.
Proceedings of the Formal Methods in Computer-Aided Design, 2008

Optimizing automatic abstraction refinement for generalized symbolic trajectory evaluation.
Proceedings of the 45th Design Automation Conference, 2008

2007
Automatic Abstraction Refinement for Generalized Symbolic Trajectory Evaluation.
Proceedings of the Formal Methods in Computer-Aided Design, 7th International Conference, 2007

2006
Optimal synthesis of multiple output Boolean functions using a set of quantum gates by symbolic reachability analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Maximal Models of Assertion Graph in GSTE.
Proceedings of the Theory and Applications of Models of Computation, 2006

Verification Challenges and Opportunities in the New Era of Microprocessor Design.
Proceedings of the Automated Technology for Verification and Analysis, 2006

2005
Implication of assertion graphs in GSTE.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Tightly integrate dynamic verification with formal verification: a GSTE based approach.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Partitioned model checking from software specifications.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Quantum logic synthesis by symbolic reachability analysis.
Proceedings of the 41th Design Automation Conference, 2004

Compositional Specification and Model Checking in GSTE.
Proceedings of the Computer Aided Verification, 16th International Conference, 2004

2003
Introduction to generalized symbolic trajectory evaluation.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Reasoning about GSTE Assertion Graphs.
Proceedings of the Correct Hardware Design and Verification Methods, 2003

2002
GSTE through a case study.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Generalized Symbolic Trajectory Evaluation - Abstraction in Action.
Proceedings of the Formal Methods in Computer-Aided Design, 4th International Conference, 2002

2000
Lazy symbolic model checking.
Proceedings of the 37th Conference on Design Automation, 2000


  Loading...