Qian Lou

Orcid: 0000-0001-5462-2567

According to our database1, Qian Lou authored at least 44 papers between 2017 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
TrojFair: Trojan Fairness Attacks.
CoRR, 2023

TrojFSP: Trojan Insertion in Few-shot Prompt Tuning.
CoRR, 2023

TrojPrompt: A Black-box Trojan Attack on Pre-trained Language Models.
CoRR, 2023

SSL-Cleanse: Trojan Detection and Mitigation in Self-Supervised Learning.
CoRR, 2023

vFHE: Verifiable Fully Homomorphic Encryption with Blind Hash.
CoRR, 2023

TrojLLM: A Black-box Trojan Prompt Attack on Large Language Models.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

PriML: An Electro-Optical Accelerator for Private Machine Learning on Encrypted Data.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

TrojText: Test-time Invisible Textual Trojan Insertion.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

TrojBits: A Hardware Aware Inference-Time Attack on Transformer-Based Language Models.
Proceedings of the ECAI 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Kraków, Poland, 2023

Primer: Fast Private Transformer Inference on Encrypted Data.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

TrojViT: Trojan Insertion in Vision Transformers.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Cryptography-Inspired Federated Learning for Generative Adversarial Networks and Meta Learning.
Proceedings of the Advanced Data Mining and Applications - 19th International Conference, 2023

2022
Underwater image enhancement based on color-line model and homomorphic filtering.
Signal Image Video Process., 2022

ESTAS: Effective and Stable Trojan Attacks in Self-supervised Encoders with One Target Unlabelled Sample.
CoRR, 2022

Numerical Optimizations for Weighted Low-rank Estimation on Language Model.
CoRR, 2022

Audit and Improve Robustness of Private Neural Networks on Encrypted Data.
CoRR, 2022

CryptoLight: An Electro-Optical Accelerator for Fully Homomorphic Encryption.
Proceedings of the 17th ACM International Symposium on Nanoscale Architectures, 2022

DictFormer: Tiny Transformer with Shared Dictionary.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Language model compression with weighted low-rank factorization.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Numerical Optimizations for Weighted Low-rank Estimation on Language Models.
Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, 2022

coxHE: A software-hardware co-design framework for FPGA acceleration of homomorphic computation.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

MATCHA: a fast and energy-efficient accelerator for fully homomorphic encryption over the torus.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Lite-MDETR: A Lightweight Multi-Modal Detector.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

2021
Underwater image restoration based on modified color-line model.
J. Electronic Imaging, 2021

How to Accelerate Capsule Convolutions in Capsule Networks.
CoRR, 2021

Automatic Mixed-Precision Quantization Search of BERT.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

HEMET: A Homomorphic-Encryption-Friendly Privacy-Preserving Mobile Neural Network Architecture.
Proceedings of the 38th International Conference on Machine Learning, 2021

SAFENet: A Secure, Accurate and Fast Neural Network Inference.
Proceedings of the 9th International Conference on Learning Representations, 2021

CRYPTOGRU: Low Latency Privacy-Preserving Text Analysis With GRU.
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021

2020
Underwater image enhancement based on DCP and depth transmission map.
Multim. Tools Appl., 2020

AutoPrivacy: Automated Layer-wise Parameter Selection for Secure Neural Network Inference.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Falcon: Fast Spectral Inference on Encrypted Data.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Glyph: Fast and Accurately Training Deep Neural Networks on Encrypted Data.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

AutoQ: Automated Kernel-Wise Neural Network Quantization.
Proceedings of the 8th International Conference on Learning Representations, 2020

LightBulb: A Photonic-Nonvolatile-Memory-based Accelerator for Binarized Convolutional Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

MindReading: An Ultra-Low-Power Photonic Accelerator for EEG-based Human Intention Recognition.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Helix: Algorithm/Architecture Co-design for Accelerating Nanopore Genome Base-calling.
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020

2019
SHE: A Fast and Accurate Privacy-Preserving Deep Neural Network Via Leveled TFHE and Logarithmic Data Representation.
CoRR, 2019

AutoQB: AutoML for Network Quantization and Binarization on Mobile Devices.
CoRR, 2019

SHE: A Fast and Accurate Deep Neural Network for Encrypted Data.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

HolyLight: A Nanophotonic Accelerator for Deep Learning in Data Centers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
BRAWL: A Spintronics-Based Portable Basecalling-in-Memory Architecture for Nanopore Genome Sequencing.
IEEE Comput. Archit. Lett., 2018

3DICT: a reliable and QoS capable mobile process-in-memory architecture for lookup-based CNNs in 3D XPoint ReRAMs.
Proceedings of the International Conference on Computer-Aided Design, 2018

2017
Runtime and reconfiguration dual-aware placement for SRAM-NVM hybrid FPGAs.
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017


  Loading...