Roberto Gioiosa

According to our database1, Roberto Gioiosa authored at least 73 papers between 2005 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Task Based Approach for Co-Scheduling Ensemble Workloads on Heterogeneous Nodes.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

2022
Exploring the Use of Novel Spatial Accelerators in Scientific Applications.
Proceedings of the ICPE '22: ACM/SPEC International Conference on Performance Engineering, Bejing, China, April 9, 2022

SpectralFly: Ramanujan Graphs as Flexible and Efficient Interconnection Networks.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

ReACT: Redundancy-Aware Code Generation for Tensor Expressions.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022

2021
SpectralFly: Ramanujan Graphs as Flexible and Efficient Interconnection Networks.
CoRR, 2021

Sparta: high-performance, element-wise sparse tensor contraction on heterogeneous memory.
Proceedings of the PPoPP '21: 26th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2021

Athena: high-performance sparse tensor contraction sequence on heterogeneous memory.
Proceedings of the ICS '21: 2021 International Conference on Supercomputing, 2021

Union: A Unified HW-SW Co-Design Ecosystem in MLIR for Evaluating Tensor Operations on Spatial Accelerators.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Locality-Aware Scheduling for Scalable Heterogeneous Environments.
Proceedings of the 2020 IEEE/ACM International Workshop on Runtime and Operating Systems for Supercomputers, 2020

The Minos Computing Library: efficient parallel programming for extremely heterogeneous systems.
Proceedings of the GPGPU@PPoPP '20: 13th Annual Workshop on General Purpose Processing using Graphics Processing Unit colocated with 25th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2020

COMET: A Domain-Specific Compilation of High-Performance Computational Chemistry.
Proceedings of the Languages and Compilers for Parallel Computing, 2020

2019
Advert: An Asynchronous Runtime for Fine-Grained Network Systems.
Proceedings of the IEEE/ACM Third Annual Workshop on Emerging Parallel and Distributed Runtime Systems and Middleware, 2019

Proceedings of the Operating Systems for Supercomputers and High Performance Computing, 2019

2018
MPI windows on storage for HPC applications.
Parallel Comput., 2018

Characterizing the performance benefit of hybrid memory system for HPC applications.
Parallel Comput., 2018

Understanding scale-Dependent soft-Error Behavior of Scientific Applications.
Proceedings of the 18th IEEE/ACM International Symposium on Cluster, 2018

2017
MPI Streams for HPC Applications.
CoRR, 2017

Exploring the Performance Benefit of Hybrid Memory System on HPC Environments.
CoRR, 2017

RTHMS: a tool for data placement on hybrid memory system.
Proceedings of the 2017 ACM SIGPLAN International Symposium on Memory Management, 2017

Argo NodeOS: Toward Unified Resource Management for Exascale.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017

Exploring the Performance Benefit of Hybrid Memory System on HPC Environments.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Exploring DataVortex Systems for Irregular Applications.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017

Exploring the Effect of Compiler Optimizations on the Reliability of HPC Applications.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Preparing HPC Applications for the Exascale Era: A Decoupling Strategy.
Proceedings of the 46th International Conference on Parallel Processing, 2017

Pushing the Limits of Irregular Access Patterns on Emerging Network Architecture: A Case Study.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

Extending Message Passing Interface Windows to Storage.
Proceedings of the 17th IEEE/ACM International Symposium on Cluster, 2017

2016
Assessing Advanced Technology in CENATE.
Proceedings of the IEEE International Conference on Networking, 2016

A Performance Characterization of Streaming Computing on Supercomputers.
Proceedings of the International Conference on Computational Science 2016, 2016

Idle Period Propagation in Message-Passing Applications.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Exploring Application Performance on Emerging Hybrid-Memory Supercomputers.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Exploring Data Vortex Network Architectures.
Proceedings of the 24th IEEE Annual Symposium on High-Performance Interconnects, 2016

2015
Understanding the propagation of transient errors in HPC applications.
Proceedings of the International Conference for High Performance Computing, 2015

Prometheus: scalable and accurate emulation of task-based applications on many-core systems.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

A Container-Based Approach to OS Specialization for Exascale Computing.
Proceedings of the 2015 IEEE International Conference on Cloud Engineering, 2015

Analyzing System Calls in Multi-OS Hierarchical Environments.
Proceedings of the 5th International Workshop on Runtime and Operating Systems for Supercomputers, 2015

On the Application Task Granularity and the Interplay with the Scheduling Overhead in Many-Core Shared Memory Systems.
Proceedings of the 2015 IEEE International Conference on Cluster Computing, 2015

2014
Adaptive Prefetching on POWER7: Improving Performance and Power Consumption.
ACM Trans. Parallel Comput., 2014

Online Monitoring Systems for Performance Fault Detection.
Parallel Process. Lett., 2014

Evaluating performance and power efficiency of scientific applications on multi-threaded systems.
Proceedings of the 2nd International Workshop on Energy Efficient Supercomputing, 2014

Cross-Layer Self-Adaptive/Self-Aware System Software for Exascale Systems.
Proceedings of the 26th IEEE International Symposium on Computer Architecture and High Performance Computing, 2014

Online Monitoring System for Performance Fault Detection.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

2013
SMT Malleability in IBM POWER5 and POWER6 Processors.
IEEE Trans. Computers, 2013

Quantifying the energy cost of data movement in scientific applications.
Proceedings of the IEEE International Symposium on Workload Characterization, 2013

Enabling accurate power profiling of HPC applications on exascale systems.
Proceedings of the 3rd International Workshop on Runtime and Operating Systems for Supercomputers, 2013

2012
CPU Accounting for Multicore Processors.
IEEE Trans. Computers, 2012

HPPAC Introduction.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Evaluating the Impact of TLB Misses on Future HPC Systems.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium, 2012

Characterizing thread placement in the IBM POWER7 processor.
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012

Enhancing the performance of assisted execution runtime systems through hardware/software techniques.
Proceedings of the International Conference on Supercomputing, 2012

Assessing the suitability of the NGMP multi-core processor in the space domain.
Proceedings of the 12th International Conference on Embedded Software, 2012

Making data prefetch smarter: adaptive prefetching on POWER7.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012

2011
Energy-Aware Accounting and Billing in Large-Scale Computing Facilities.
IEEE Micro, 2011

Characterizing Power and Temperature Behavior of POWER6-Based System.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

A Quantitative Analysis of OS Noise.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

HPPAC Introduction.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

STM2: A Parallel STM for High Performance Simultaneous Multithreading Systems.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
Trends and techniques for energy efficient architectures.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Towards sustainable exascale computing.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Power and performance aware reconfigurable cache for CMPs.
Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, 2010

Portable, scalable, per-core power estimation for intelligent resource management.
Proceedings of the International Green Computing Conference 2010, 2010

Designing OS for HPC Applications: Scheduling.
Proceedings of the 2010 IEEE International Conference on Cluster Computing, 2010

Power and thermal characterization of POWER6 system.
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010

2009
CPU Accounting in CMP Processors.
IEEE Comput. Archit. Lett., 2009

A global operating system for HPC clusters.
Proceedings of the 2009 IEEE International Conference on Cluster Computing, August 31, 2009

ITCA: Inter-task Conflict-Aware CPU Accounting for CMPs.
Proceedings of the PACT 2009, 2009

2008
Hard Real-Time Performances in Multiprocessor-Embedded Systems Using ASMP-Linux.
EURASIP J. Embed. Syst., 2008

A dynamic scheduler for balancing HPC applications.
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2008

Measuring Operating System Overhead on CMT Processors.
Proceedings of the 20th International Symposium on Computer Architecture and High Performance Computing, 2008

Software-Controlled Priority Characterization of POWER5 Processor.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008

Balancing HPC applications through smart allocation of resources in MT processors.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Soft Real-Time Scheduling on SMT Processors with Explicit Resource Allocation.
Proceedings of the Architecture of Computing Systems, 2008

2005
Transparent, Incremental Checkpointing at Kernel Level: a Foundation for Fault Tolerance for Parallel Computers.
Proceedings of the ACM/IEEE SC2005 Conference on High Performance Networking and Computing, 2005

Current Practice and a Direction Forward in Checkpoint/Restart Implementations for Fault Tolerance.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005


  Loading...