Shih-Hsu Huang

Orcid: 0000-0001-8908-8384

According to our database1, Shih-Hsu Huang authored at least 107 papers between 1995 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Fault-Aware ECC Scheme for Enhancing the Read Reliability of STT-MRAMs.
Proceedings of the IEEE International Test Conference in Asia, 2023

A Metal-Only ECO Algorithm for Improving Hardware Security with Gate Camouflaging.
Proceedings of the International Conference on Consumer Electronics - Taiwan, 2023

Fault-Tolerant Near-Memory MAC Design with Redundant Memories.
Proceedings of the International Conference on Consumer Electronics - Taiwan, 2023

An Automatic Facial Analysis System for The Detection of Pediatric Obstructive Sleep Apnea.
Proceedings of the International Conference on Consumer Electronics - Taiwan, 2023

Hardware Trojans of Computing-In-Memories: Issues and Methods.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Dataflow and Hardware Design for The Sharing of Feature Maps.
Proceedings of the 19th International SoC Design Conference, 2022

Design and Dataflow for Multibit SRAM-Based MAC Operations.
Proceedings of the 19th International SoC Design Conference, 2022

A Reinforcement Learning Methodology for The Search of SRAM CIM-based Accelerator Configuration.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2022

Network Pruning by Feature Map Sharing with K-Means Clustering.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2022

A Behavior-Level Simulation Framework for RRAM-Based Deep Learning Accelerators with Flexible Architecture Configurations.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Block-Based Compression and Corresponding Hardware Circuits for Sparse Activations.
Sensors, 2021

Convolver Design and Convolve-Accumulate Unit Design for Low-Power Edge Computing.
Sensors, 2021

ECO Timing Optimization with Data Paths and Clock Paths Considered.
Proceedings of the International Symposium on Intelligent Signal Processing and Communication Systems, 2021

Hybrid Dynamic Fixed Point Quantization Methodology for AI Accelerators.
Proceedings of the 18th International SoC Design Conference, 2021

Low-Power Low-Error Fixed-Width Multiplier Design for Digital Signal Processing.
Proceedings of the IEEE International Conference on Consumer Electronics, 2021

Block-Based Compression for Reducing Indexing Cost of DNN Accelerators.
Proceedings of the IEEE International Conference on Consumer Electronics-Taiwan, 2021

Hardware Implementation for Fending off Side-Channel Attacks.
Proceedings of the IEEE International Conference on Consumer Electronics-Taiwan, 2021

2020
A High-Performance Multiply-Accumulate Unit by Integrating Additions and Accumulations Into Partial Product Reduction Process.
IEEE Access, 2020

Co-Optimization of Grid-Based TAM Wire Routing and Test Scheduling with Reconfigurable Wrappers.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

A Simple Yet Accurate Method for The Unsigned Fixed-Width Multiplier Design.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

Low-Power Hardware Implementation for Parametric Rectified Linear Unit Function.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

Low-Power Hardware Architecture for Depthwise Separable Convolution Unit Design.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

Low-Power Small-Area $3\times 3$ Convolution Hardware Design.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

2019
A Design Framework for Hardware Approximation of Deep Neural Networks.
Proceedings of the 2019 International Symposium on Intelligent Signal Processing and Communication Systems, 2019

Softsign Function Hardware Implementation Using Piecewise Linear Approximation.
Proceedings of the 2019 International Symposium on Intelligent Signal Processing and Communication Systems, 2019

Hardware Implementation for Multiple Activation Functions.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2019

IC Camouflaging by Using Universal Gates under Timing Constraints.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2019

A Built-in Self-Test Scheme for TSVs of Logic-DRAM Stacked 3D ICs.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

3D Test Wrapper Chain Optimization with I/O Cells Binding Considered.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2018
TSV-aware 3D test wrapper chain optimization.
Proceedings of the 2018 International Symposium on VLSI Design, 2018

Keynote Talk: 3D Core-based SoC Testing for Low Power and TSV Count Minimization.
Proceedings of the 11th International Workshop on Network on Chip Architectures, 2018

An Effective Approach for Building Low-Power General Activity-Driven Clock Trees.
Proceedings of the International SoC Design Conference, 2018

Integration of Retention-aware Refresh and BISR Techniques for DRAM Refresh Power Reduction.
Proceedings of the International SoC Design Conference, 2018

2017
Ping-Pong Mesh: A New Resonant Clock Design for Surge Current and Area Overhead Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Overview of the 2017 CAD contest at ICCAD: Invited paper.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

3D IC Memory BIST Controller Allocation for Test Time Minimization Under Power Constraints.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
Skew Minimization With Low Power for Wide-Voltage-Range Multipower-Mode Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Power-mode-aware buffer synthesis for low-power clock skew minimization.
IEICE Electron. Express, 2016

Top-level activity-driven clock tree synthesis with clock skew variation considered.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Overview of the 2016 CAD contest at ICCAD.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
Clock Period Minimization with Minimum Leakage Power.
ACM Trans. Design Autom. Electr. Syst., 2015

Overview of the 2015 CAD Contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Temperature-Aware Layer Assignment for Three-Dimensional Integrated Circuits.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Leakage-power-aware clock period minimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Live demonstration: A low-power high-level synthesis system.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Abstract bus interface unit for ESL design from TLM 2.0 communications to the real bus protocol.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Self-adjusting mechanism to dynamically suppress the effect of PVT variations on clock skew.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Low-power anti-aging zero skew clock gating.
ACM Trans. Design Autom. Electr. Syst., 2013

Low-power timing closure methodology for ultra-low voltage designs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Co-synthesis of data paths and clock control paths for minimum-period clock gating.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
High-Level Synthesis for Minimum-Area Low-Power Clock Gating.
J. Inf. Sci. Eng., 2012

A formal approach to slack-driven high-level synthesis.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

NBTI-aware dual threshold voltage assignment for leakage power reduction.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Clock period minimization with minimum area overhead in high-level synthesis of nonzero clock skew circuits.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Simultaneous wafer bonding type selection and layer assignment for TSV count minimization.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Accurate TSV Number Minimization in High-Level Synthesis.
J. Inf. Sci. Eng., 2011

Minimum Inserted Buffers for Clock Period Minimization.
J. Inf. Sci. Eng., 2011

TSV sharing through multiplexing for TSV count minimization in high-level synthesis.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Teaching three-dimensional system-in-package design automation in a semester course.
Proceedings of the 2011 IEEE International Conference on Microelectronic Systems Education, 2011

2010
Resource Selection and Binding of Nonzero Clock Skew Circuits for Standby Leakage Current Minimization.
J. Inf. Sci. Eng., 2010

Critical-PMOS-aware clock tree design methodology for anti-aging zero skew clock gating.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Opposite-phase register switching for peak current minimization.
ACM Trans. Design Autom. Electr. Syst., 2009

Minimum-Period Register Binding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Simultaneous Clock Skew Scheduling and Power-Gated Module Selection for Standby Leakage Minimization.
J. Inf. Sci. Eng., 2009

Synthesis of Anti-Aging Gated Clock Designs.
J. Inf. Sci. Eng., 2009

An ILP approach to surge current minimization in high-level synthesis.
IEICE Electron. Express, 2009

Surge Current Minimization in High-level Synthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Timing driven power gating in high-level synthesis.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Power-Management Scheduling for Peak Power Minimization.
J. Inf. Sci. Eng., 2008

An ILP Approach to the Simultaneous Application of Operation Scheduling and Power Management.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Module binding for low power clock gating.
IEICE Electron. Express, 2008

Type-matching clock tree for zero skew clock gating.
Proceedings of the 45th Design Automation Conference, 2008

2007
Clock skew scheduling with race conditions considered.
ACM Trans. Design Autom. Electr. Syst., 2007

A Fast Register Scheduling Approach to the Architecture of Multiple Clocking Domains.
J. Inf. Sci. Eng., 2007

Opposite-Phase Clock Tree for Peak Current Reduction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Operation scheduling for the synthesis of false loop free circuits.
IEICE Electron. Express, 2007

Simultaneous Operation Scheduling and Operation Delay Selection to Minimize Cycle-by-Cycle Power Differential.
Proceedings of the Emerging Directions in Embedded and Ubiquitous Computing, 2007

A Floorplan-Based Power Network Analysis Methodology for System-on-Chip Designs.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2007

Clock Period Minimization with Minimum Delay Insertion.
Proceedings of the 44th Design Automation Conference, 2007

2006
Synthesis of nonzero clock skew circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

An ILP Approach to the Slack Driven Scheduling Problem.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

High-Speed Fuzzy Inference Processor Using Active Rules Identification.
Proceedings of the 2006 Joint Conference on Information Sciences, 2006

An ILP Approach to the Simultaneous Application of Operation Scheduling and Power Management.
Proceedings of the 2006 Joint Conference on Information Sciences, 2006

State re-encoding for peak current minimization.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Register binding for clock period minimization.
Proceedings of the 43rd Design Automation Conference, 2006

Fast multi-domain clock skew scheduling for peak current reduction.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Peak Power Minimization through Power Management Scheduling.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

Operation Scheduling for False Loop Free Circuits.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
High-Speed VLSI Fuzzy Inference Processor for Trapezoid-Shaped Membership Functions.
J. Inf. Sci. Eng., 2005

A High Speed Fuzzy Inference Processor with Dynamic Analysis and Scheduling Capabilities.
IEICE Trans. Inf. Syst., 2005

Three-dimension scheduling under multi-cycle interconnect communications.
IEICE Electron. Express, 2005

Floorplanning with clock tree estimation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

VLSI implementation of type-2 fuzzy inference processor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A formal approach to the slack driven scheduling problem in high-level synthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Minimizing peak current via opposite-phase clock tree.
Proceedings of the 42nd Design Automation Conference, 2005

Race-condition-aware clock skew scheduling.
Proceedings of the 42nd Design Automation Conference, 2005

2004
A Timing Driven Crosstalk Optimizer for Gridded Channel Routing.
IEICE Trans. Inf. Syst., 2004

2003
Clock Period Minimization of Non-Zero Clock Skew Circuits.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

2002
An effective floorplan-based power distribution network design methodology under reliability constraints.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Automatic synthesis of fuzzy systems based on trapezoid-shaped membership functions.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

A timing driven approach for crosstalk minimization in gridded channel routing.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2001
An effective low power design methodology based on interconnect prediction.
Proceedings of the Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31, 2001

A High Speed VLSI Fuzzy Logic Controller With Pipeline Architecture.
Proceedings of the 10th IEEE International Conference on Fuzzy Systems, 2001

2000
A Reliable Clock Tree Design Methodology for ASIC Designs.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

1995
A new scheduling algorithm for synthesizing the control blocks of control-dominated circuits.
Microprocess. Microprogramming, 1995

A new approach to schedule operations across nested-ifs and nested-loops.
Microprocess. Microprogramming, 1995

Synthesis of false loop free circuits.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995


  Loading...